DATE 2004 AUTHOR INDEX

[A] [B] [C] [D] [E] [F] [G] [H] [I] [J] [K] [L] [M] [N] [O] [P] [Q] [R] [S] [T] [U] [V] [W] [X] [Y] [Z]


A

Abas, M.
PDF icon Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit [p. 804]
Aboulhamid, E.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Aboulhamid, M.
PDF icon A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors [p. 364]
Aboushady, H.
PDF icon Automatic Synthesis and Simulation of Continuous-Time ΣΔ Modulators [p. 674]
Acquaviva, A.
PDF icon Power-Aware Network Swapping for Wireless Palmtop PCs [p. 858]
Agarwal, A.
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
PDF icon Accurate Estimation of Parasitic Capacitances in Analog Circuits [p. 1364]
Agrawal, A.
PDF icon Synthesis of Reversible Logic [p. 1384]
Ahmed, I.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Aktouf, C.
PDF icon Enhancing Testability of System on Chips Using Network Management Protocols [p. 1370]
Alakarhu, J.
PDF icon Scalar Metric for Temporal Locality and Estimation of Cache Performance [p. 730]
Al-Ars, Z.
PDF icon Soft Faults and the Importance of Stresses in Memory Testing [p. 1084]
Al-Hashimi, B.
PDF icon Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems [p. 518]
PDF icon Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique [p. 1372]
Almukhaizim, S.
PDF icon On Concurrent Error Detection with Bounded Latency in FSMs [p. 596]
Aloul, F.
PDF icon Breaking Instance-Independent Symmetries in Exact Graph Coloring [p. 324]
Ammari, A.
PDF icon Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow [p. 590]
Andrei, A.
PDF icon Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems [p. 518]
Angiolini, F.
PDF icon Analyzing On-Chip Communication in a MPSoC Environment [p. 752]
Aragon, J.
PDF icon Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors [p. 1374]
Aragonés, X.
PDF icon A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation [p. 1362]
Araújo, G.
PDF icon Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology [p. 734]
Arslan, B.
PDF icon CircularScan: A Scan Architecture for Test Cost Reduction [p. 1290]
Arslan, T.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Asai, H.
PDF icon Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits [p. 1327]
Ascheid, G.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Atienza, D.
PDF icon Dynamic Memory Management Design Methodology for Reduced Memory. Footprint in Multimedia and Wireless Network Applications [p. 532]
Aydin, N.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Azevedo, R.
PDF icon Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology [p. 734]

B

Babighian, P.
PDF icon A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks [p. 500]
PDF icon Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating [p. 720]
Badaroglu, M.
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
Badulescu, A.
PDF icon Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors [p. 1374]
Baloch, S.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Balzano, J.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
Banerjee, N.
PDF icon A Power and Performance Model for Network-on-Chip Architectures [p. 1250]
Banerjee, P.
PDF icon Power Aware Interface Synthesis for Bus-Based SoC Design [p. 864]
Bansal, N.
PDF icon Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures [p. 474]
Baranowski, J.
PDF icon Efficient Mixed-Domain Behavioural Modeling of Ferromagnetic Hysteresis Implemented in VHDL-AMS [p. 742]
Barke, E.
PDF icon Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques [p. 442]
PDF icon Placement Using a Localization Probability Model (LPM) [p. 1412]
Barnhart, C.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Barros, E.
PDF icon Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology [p. 734]
Baschirotto, A.
PDF icon Pseudo-Random Sequence Based Tuning System for Continuous-Time Filters [p. 94]
Basten, T.
PDF icon Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks [p. 384]
Basu, P.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
Baumgartner, J.
PDF icon Enhanced Diameter Bounding via Structural Transformation [p. 36]
Becer, M.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]
Beilleau, N.
PDF icon Automatic Synthesis and Simulation of Continuous-Time ΣΔ Modulators [p. 674]
Bellato, M.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Benabdenbi, M.
PDF icon STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores [p. 712]
Benini, L.
PDF icon A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks [p. 500]
PDF icon Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning [p. 698]
PDF icon Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating [p. 720]
PDF icon Analyzing On-Chip Communication in a MPSoC Environment [p. 752]
PDF icon ×pipesCompiler: A Tool for Instantiating Application Specific Networks-on-Chip [p. 884]
Bennetts, B.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Bernadini, S.
PDF icon A Tunneling Model for Gate Oxide Failure in Deep Sub-Micron Technology [p. 1404]
Bernardi, P.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Bernardinis, F. De
PDF icon A Methodology for System-Level Analog Design Space Exploration [p. 676]
Bertozzi, D.
PDF icon Analyzing On-Chip Communication in a MPSoC Environment [p. 752]
Bhattacharya, S.
PDF icon Efficient Test Strategy for TDMA Power Amplifiers Using Transient Current Measurements: Uses and Benefits [p. 280]
Bhunia, S.
PDF icon Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis [p. 708]
Bjesse, P.
PDF icon Using Counter Example Guided Abstraction Refinement to Find Complex Bugs [p. 156]
Blaauw, D.
PDF icon Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization [p. 494]
PDF icon Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design [p. 718]
Blasco, F.
PDF icon System-Level Performance Analysis in SystemC [p. 378]
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Blough, D.
PDF icon Supporting Cache Coherence in Heterogeneous Multiprocessor Systems [p. 1150]
Bobba, J.
PDF icon MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis [p. 922]
Bobrek, A.
PDF icon Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach [p. 1144]
Bogliolo, A.
PDF icon Power-Aware Network Swapping for Wireless Palmtop PCs [p. 858]
Bois, G.
PDF icon A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors [p. 364]
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Bolado, M.
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Boles, J.
PDF icon An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation [p. 1356]
Bonhomme, Y.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
Bonnett, D.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Bortolato, D.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Bose, S.
PDF icon Extraction of Schematic Array Models for Memory Circuits [p. 570]
Bouchhima, A.
PDF icon Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software [p. 1382]
Bouesse, F.
PDF icon High Security Smartcards [p. 228]
Bounceur, A.
PDF icon A 0.18 µm CMOS Implementation of On-Chip Analogue Test Signal Generation from Digital Test Patterns [p. 704]
Boyer, F.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Bramley, R.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
Brandtner, T.
PDF icon SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level [p. 616]
Branover, A.
PDF icon Asynchronous Design by Conversion: Converting Synchronous Circuits into Asynchronous Ones [p. 870]
Braun, G.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Bridal, O.
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]
Bridges, S.
PDF icon Timing Correction and Optimization with Adaptive Delay Sequential Elements [p. 1416]
Brière, M.
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Bringmann, O.
PDF icon Communication Analysis for System on Chip Design [p. 648]
Brockmeyer, E.
PDF icon Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies [p. 202]
Brorsson, M.
PDF icon A Low Power Strategy for Future Mobile Terminals [p. 702]
Brown, A.
PDF icon Efficient Mixed-Domain Behavioural Modeling of Ferromagnetic Hysteresis Implemented in VHDL-AMS [p. 742]
Brunel, J.
PDF icon SoftContract: An Assertion-Based Software Development Process that Enables Design-by-Contract [p. 358]
Bullock, S.
PDF icon Smaller Two-Qubit Circuits for Quantum Communication and Computation [p. 980]
Burkhay, V.
PDF icon Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques [p. 442]
Burleson, W.
PDF icon Synchro-Tokens: Eliminating Nondeterminism to Enable Chip-Level Test of Globally Asynchronous Locally-Synchronous SoC's [p. 410]
Burns, F.
PDF icon An Asynchronous Synthesis Toolset Using Verilog [p. 724]

C

Cai, L.
PDF icon Dynamic Power Management Using Date Buffers [p. 526]
Candelori, A.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Cao, L.
PDF icon On Transfer Function and Power Consumption Transient Response [p. 688]
Carloni, L.
PDF icon Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications [p. 1164]
Carrel, L.
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Carro, L.
PDF icon Low Cost Analog Testing of RF Signal Paths [p. 292]
Castillo, J.
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Casu, M.
PDF icon Issues in Implementing Latency Insensitive Protocols [p. 1390]
Catthoor, F.
PDF icon Time-Energy Design Space Exploration for Multi-Layer Memory Architectures [p. 318]
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
PDF icon Dynamic Memory Management Design Methodology for Reduced Memory. Footprint in Multimedia and Wireless Network Applications [p. 532]
Cesário, W.
PDF icon Unified Component Integration Flow for Multi-Processor SoC Design and Validation [p. 1132]
Ceschia, M.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Chae, K.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Chakrabarti, P.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
PDF icon A New Approach to Timing Analysis Using Event Propagation and Temporal Logic [p. 1198]
Chakrabarty, K.
PDF icon Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures [p. 422]
PDF icon Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems [p. 1170]
PDF icon Nine-Coded Compression Technique with Application to Reduced Pin-Count Testing and Flexible On-Chip Decompression [p. 1284]
Chakradhar, S.
PDF icon A Case Study in Networks-on-Chip Design for Embedded Video [p. 770]
PDF icon Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets [p. 1296]
Chan, J.
PDF icon MINCE: Matching INstructions with Combinational Equivalence for Extensible Processor [p. 1020]
Chandra, V.
PDF icon An Interconnect Channel Design Methodology for High Performance Integrated Circuits [p. 1138]
Chang, N.
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Chang, Y.
PDF icon Value-Conscious Cache: Simple Technique for Reducing Cache Access Power [p. 16]
PDF icon A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuits [p. 1078]
Chao, M. C.
PDF icon Pattern Selection for Testing of Deep Sub-Micron Timing Defects [p. 1060]
Charest, L.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Chatha, K.
PDF icon A Power and Performance Model for Network-on-Chip Architectures [p. 1250]
Chatterjee, A.
PDF icon Efficient Test Strategy for TDMA Power Amplifiers Using Transient Current Measurements: Uses and Benefits [p. 280]
Chen, C.
PDF icon Thermal and Power Integrity Based Power/Ground Networks Optimization [p. 830]
PDF icon SCORE: Spice COmpatible Reluctance Extraction [p. 948]
PDF icon Realizable Reduction for Electromagnetically Coupled RLMC Interconnects [p. 1400]
Chen, G.
PDF icon Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms [p. 486]
Chen, T.
PDF icon A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations [p. 240]
Cheng, K.
PDF icon Improved Symbolic Simulation by Dynamic Functional Space Partitioning [p. 42]
PDF icon Random Jitter Extraction Technique in a Multi-Gigahertz Signal [p. 286]
PDF icon Pattern Selection for Testing of Deep Sub-Micron Timing Defects [p. 1060]
Cheng, W.
PDF icon Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling [p. 252]
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Cherubal, S.
PDF icon Efficient Test Strategy for TDMA Power Amplifiers Using Transient Current Measurements: Uses and Benefits [p. 280]
Cheung, N.
PDF icon MINCE: Matching INstructions with Combinational Equivalence for Extensible Processor [p. 1020]
Cheung, P.
PDF icon A Novel Implementation of Tile-Based Address Mapping [p. 306]
Chiang, C.
PDF icon Direct Nonlinear Order Reduction with Variational Analysis [p. 1316]
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Chiarulli, D.
PDF icon An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation [p. 1356]
Childers, B.
PDF icon Compact Binaries with Code Compression in a Software Dynamic Translator [p. 1052]
PDF icon Profile Guided Management of Code Partitions for Embedded Systems [p. 1396]
Chin, J.
PDF icon SoC Test Scheduling with Power-Time Tradeoff and Hot Spot Avoidance [p. 710]
Choi, K.
PDF icon Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times [p. 4]
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
PDF icon A Game Theoretic Approach to Low Energy Wireless Video Streaming [p. 696]
Chopra, S.
PDF icon A Framework for Battery-Aware Sensor Management [p. 962]
Choudhary, A.
PDF icon Flexible Software Protection Using Hardware/Software Codesign Techniques [p. 636]
PDF icon Data Windows: A Data-Centric Approach for Query Execution in Memory-Resident Databases [p. 1352]
Chung, E.
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
Cobb, B.
PDF icon Balanced Excitation and its Effect on the Fortuitous Detection of Dynamic Defects [p. 1066]
Corsi, F.
PDF icon Pseudo-Random Sequence Based Tuning System for Continuous-Time Filters [p. 94]
Cortadella, J.
PDF icon From Synchronous to Asynchronous: An Automatic Approach [p. 1368]
Cortés, L.
PDF icon Quasi-Static Scheduling for Real-Time Systems with Hard and Soft Tasks [p. 1176]
Cotofana, S.
PDF icon Compositional Memory Systems for Data Intensive Applications [p. 728]
PDF icon GRAAL -- A Development Framework for Embedded Graphics Accelerators [p. 1366]
Crisu, D.
PDF icon GRAAL -- A Development Framework for Embedded Graphics Accelerators [p. 1366]
Cron, A.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Cuomo, A.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]

D

D'Amico, S.
PDF icon Pseudo-Random Sequence Based Tuning System for Continuous-Time Filters [p. 94]
D'Silva, V.
PDF icon Synchronous Protocol Automata: A Framework for Modelling and Verification of SoC Communication Architectures [p. 390]
Das, S.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
Dasgupta, P.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
Dasika, S.
PDF icon A Framework for Battery-Aware Sensor Management [p. 962]
David, J.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Deb, A. K.
PDF icon System Design for DSP Applications Using the MASIC Methodology [p. 630]
Deng, L.
PDF icon Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus [p. 1104]
Densmore, D.
PDF icon Microarchitecture Development via Metropolis Successive Platform Refinement [p. 346]
Deogun, H.
PDF icon Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization [p. 494]
Deprettere, E.
PDF icon System Design Using Kahn Process Networks: The Compaan/Laura Approach [p. 340]
Diaz-Nava, M.
PDF icon Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software [p. 1382]
Dielissen, J.
PDF icon An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration [p. 878]
Dimopoulos, M.
PDF icon Efficient Static Compaction of Test Sequence Sets through the Application of Set Covering Techniques [p. 194]
Diorio, C.
PDF icon Timing Correction and Optimization with Adaptive Delay Sequential Elements [p. 1416]
Dittmann, G.
PDF icon Organizing Libraries of DFG Patterns [p. 726]
Doboli, A.
PDF icon Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip [p. 108]
Donnay, S.
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
PDF icon Extended Subspace Identification of Improper Linear Systems [p. 454]
Dowd, S.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Drechsler, R.
PDF icon Managing Don't Cares in Boolean Satisfiability [p. 260]
Drineas, P.
PDF icon On Concurrent Error Detection with Bounded Latency in FSMs [p. 596]
Duan, C.
PDF icon Exploiting Crosstalk to Speed up On-Chip Buses [p. 778]
Dutt, N.
PDF icon Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow [p. 114]
PDF icon Graph-Based Functional Test Program Generation for Pipelined Processors [p. 182]
PDF icon Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies [p. 202]
PDF icon Automatic Tuning of Two-Level Caches to Embedded Applications [p. 208]
PDF icon Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures [p. 474]
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Dutton, R.
PDF icon Synthesized Compact Models (SCM) of Substrate Noise Coupling Analysis and Synthesis in Mixed-Signal ICs [p. 836]
Dworak, J.
PDF icon Balanced Excitation and its Effect on the Fortuitous Detection of Dynamic Defects [p. 1066]
Dziri, M.
PDF icon Unified Component Integration Flow for Multi-Processor SoC Design and Validation [p. 1132]

E

Edwards, D.
PDF icon Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits [p. 672]
Eeckhout, L.
PDF icon Adaptive Prefetching for Multimedia Applications in Embedded Systems [p. 1350]
Efthymiou, A.
PDF icon Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits [p. 672]
Eijndhoven, J. van
PDF icon Compositional Memory Systems for Data Intensive Applications [p. 728]
Eklow, B.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Eles, P.
PDF icon Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems [p. 518]
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]
PDF icon Quasi-Static Scheduling for Real-Time Systems with Hard and Soft Tasks [p. 1176]
PDF icon Distributed Multimedia System Design: A Holistic Perspective [p. 1342]
Elvira, L.
PDF icon A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation [p. 1362]
Eo, S.
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
Ernst, R.
PDF icon Context-Aware Performance Analysis for Efficient Embedded System Design [p. 1046]
Essa, S.
PDF icon Integrating the Synchronous Dataflow Model with UML [p. 736]

F

Fang, Q.
PDF icon Direct Nonlinear Order Reduction with Variational Analysis [p. 1316]
Feldmann, P.
PDF icon Model Order Reduction Techniques for Linear Systems with Large Numbers of Terminals [p. 944]
Feng, L.
PDF icon Direct Nonlinear Order Reduction with Variational Analysis [p. 1316]
Feng, T.
PDF icon Improved Symbolic Simulation by Dynamic Functional Space Partitioning [p. 42]
Fernandes, J.
PDF icon A Probabilistic Method for the Computation of Testability of RTL Constructs [p. 176]
Ferrari, A.
PDF icon SoftContract: An Assertion-Based Software Development Process that Enables Design-by-Contract [p. 358]
Fey, G.
PDF icon Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor [p. 162]
Fit-Florea, A.
PDF icon Enhancing Reliability of Operational Interconnections in FPGAs [p. 746]
Fitzpatrick, T.
PDF icon System Verilog for VHDL Users [p. 1334]
Fix, L.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
Flake, P.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
Flottes, M.
PDF icon An Arithmetic Structure for Test Data Horizontal Compression [p. 428]
Fouren, H.
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Fu, M.
PDF icon Exploring Logic Block Granularity for Regular Fabrics [p. 468]
Fummi, F.
PDF icon Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC [p. 564]

G

Gaffiot, F.
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Gangwal, O.
PDF icon Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach [p. 764]
Garcea, G.
PDF icon Statistically Aware Buffer Planning [p. 1402]
García, J.
PDF icon A Direct Bootstrapped CMOS Large Capacitive-Load Driver Circuit [p. 680]
Gautier, J.
PDF icon Extremely Low-Power Logic [p. 656]
Gavrilov, S.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]
Germain, F.
PDF icon High Security Smartcards [p. 228]
Ghenassia, F.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
Gielen, G.
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
PDF icon A Phase-Frequency Transfer Description of Analog and Mixed-Signal Front-End Architectures for System-Level Design [p. 436]
PDF icon Performance Modeling of Analog Integrated Circuits Using Least-Squares Support Vector Machines [p. 448]
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
Ginés, A.
PDF icon Digital Background Gain Error Correction in Pipeline ADCs [p. 82]
Ginosar, R.
PDF icon Asynchronous Design by Conversion: Converting Synchronous Circuits into Asynchronous Ones [p. 870]
Girard, P.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
Giusto, P.
PDF icon SoftContract: An Assertion-Based Software Development Process that Enables Design-by-Contract [p. 358]
Givargis, A.
PDF icon Dynamic Voltage and Cache Reconfiguration for Low Power [p. 1376]
Gizopoulos, D.
PDF icon Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors [p. 578]
Glebov, A.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]
Goessel, M.
PDF icon Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Codes [p. 1302]
Goloubeva, O.
PDF icon Automatic Generation of Validation Stimuli for Application-Specific Processors [p. 188]
González, J.
PDF icon A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation [p. 1362]
Goor, A. van De
PDF icon Soft Faults and the Importance of Stresses in Memory Testing [p. 1084]
Goossens, K.
PDF icon Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach [p. 764]
PDF icon An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration [p. 878]
Gopalakrishnan, P.
PDF icon Exploring Logic Block Granularity for Regular Fabrics [p. 468]
Gordon-Ross, A.
PDF icon Automatic Tuning of Two-Level Caches to Embedded Applications [p. 208]
Gössel, M.
PDF icon A New Self-Checking Sum-Bit Duplicated Carry-Select Adder [p. 1360]
Goudarzi, M.
PDF icon Overhead-free Polymorphism in Network-on-Chip Implementation of Object-Oriented Models [p. 1380]
Green, P.
PDF icon Integrating the Synchronous Dataflow Model with UML [p. 736]
Gregg, J.
PDF icon A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations [p. 240]
Gregoretti, F.
PDF icon Implementation of a UMTS Turbo-decoder on a Dynamically Reconfigurable Platform [p. 1218]
Greiner, A.
PDF icon STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores [p. 712]
Grimm, C.
PDF icon Refinement of Mixed-Signal Systems with Affine Arithmetic [p. 372]
Groeneveld, P.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
Grünewald, M.
PDF icon A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoCs [p. 758]
Guernic, P. Le
PDF icon Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks [p. 384]
Guiller, L.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
Guilley, S.
PDF icon CMOS Structures Suitable for Secured Hardware [p. 1414]
Gupta, P.
PDF icon Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies [p. 904]
PDF icon An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology [p. 974]
Gupta, R.
PDF icon Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow [p. 114]
PDF icon Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures [p. 474]
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Gupta, S.
PDF icon Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow [p. 114]
PDF icon Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures [p. 474]
PDF icon A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk [p. 1110]
Gyvez, J. de
PDF icon Power Supply Noise Monitor for Signal Integrity Faults [p. 1406]

H

Haioun, E.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Halas, M.
PDF icon Enhancing Reliability of Operational Interconnections in FPGAs [p. 746]
Handa, M.
PDF icon A Fast Algorithm for Finding Maximal Empty Rectangles for Dynamic FPGA Placement [p. 744]
Harris, I.
PDF icon Synchro-Tokens: Eliminating Nondeterminism to Enable Chip-Level Test of Globally Asynchronous Locally-Synchronous SoC's [p. 410]
Hayes, J.
PDF icon High-Performance QuIDD-Based Simulation of Quantum Circuits [p. 1354]
He, L.
PDF icon Full-Chip Multilevel Routing for Power and Signal Integrity [p. 1116]
Heath, M.
PDF icon Synchro-Tokens: Eliminating Nondeterminism to Enable Chip-Level Test of Globally Asynchronous Locally-Synchronous SoC's [p. 410]
Hedrich, L.
PDF icon Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques [p. 442]
Heer, C.
PDF icon Extremely Low-Power Logic [p. 656]
Heijligers, M.
PDF icon Compositional Memory Systems for Data Intensive Applications [p. 728]
Hellring, M.
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]
Henia, R.
PDF icon Context-Aware Performance Analysis for Efficient Embedded System Design [p. 1046]
Henkel, J.
PDF icon A Case Study in Networks-on-Chip Design for Embedded Video [p. 770]
PDF icon MINCE: Matching INstructions with Combinational Equivalence for Extensible Processor [p. 1020]
PDF icon Distributed Multimedia System Design: A Holistic Perspective [p. 1342]
Hermida, R.
PDF icon Behavioural Bitwise Scheduling Based on Computational Effort Balancing [p. 684]
Herrera, F.
PDF icon System-Level Performance Analysis in SystemC [p. 378]
Hessabi, S.
PDF icon Overhead-free Polymorphism in Network-on-Chip Implementation of Object-Oriented Models [p. 1380]
Hettiaratchi, S.
PDF icon A Novel Implementation of Tile-Based Address Mapping [p. 306]
Heupke, W.
PDF icon Refinement of Mixed-Signal Systems with Affine Arithmetic [p. 372]
Hohenauer, M.
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Hong, D.
PDF icon Random Jitter Extraction Technique in a Multi-Gigahertz Signal [p. 286]
Hoogvorst, P.
PDF icon CMOS Structures Suitable for Secured Hardware [p. 1414]
Horie, T.
PDF icon Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis [p. 610]
Hou, Y.
PDF icon Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling [p. 252]
Hounsell, B.
PDF icon Co-Processor Synthesis: A New Methodology for Embedded Software Acceleration [p. 682]
Hsiao, M.
PDF icon A Novel SAT All-Solutions Solver for Efficient Preimage Computation [p. 272]
Hsieh, C.
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Hsieh, T.
PDF icon A New Effective Congestion Model in Floorplan Design [p. 1204]
Hsieh, Y.
PDF icon A New Effective Congestion Model in Floorplan Design [p. 1204]
Hu, J.
PDF icon Scheduling Reusable Instructions for Power Reduction [p. 148]
PDF icon Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures Under Real-Time Constraints [p. 234]
Hu, X.
PDF icon Power Aware Variable Partitioning and Instruction Scheduling for Multiple Memory Banks [p. 312]
Huang, A.
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Huang, J.
PDF icon Fault Tolerance of Programmable Switch Blocks [p. 1358]
Huang, Y.
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Huang, X.
PDF icon Identification and Modeling of Nonlinear Dynamic Behavior in Analog Circuits [p. 460]
Huerta, P.
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Huertas, G.
PDF icon A Method for Parameter Extraction of Analog Sine-Wave Signals for Mixed-Signal Built-In-Self-Test Applications [p. 298]
Huertas, J.
PDF icon A Method for Parameter Extraction of Analog Sine-Wave Signals for Mixed-Signal Built-In-Self-Test Applications [p. 298]
Hung, Y.
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Hwang, T.
PDF icon Decomposition of Instruction Decoder for Low Power Design [p. 664]
PDF icon Crosstalk Minimization in Logic Synthesis for PLA [p. 790]

I

Ienne, P.
PDF icon Operating System Support for Interface Virtualization of Reconfigurable Coprocessors [p. 748]
Illman, R.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Imai, M.
PDF icon Architecture-Level Performance Estimation for IP-Based Embedded Systems [p. 1002]
Inoue J.
PDF icon ULSI Interconnect Length Distribution Model Considering Core Utilization [p. 1210]
Iranli, A.
PDF icon A Game Theoretic Approach to Low Energy Wireless Video Streaming [p. 696]
Irwin, M.
PDF icon A Crosstalk Aware Interconnect with Variable Cycle Transmission [p. 102]
PDF icon Scheduling Reusable Instructions for Power Reduction [p. 148]
Issenin, I.
PDF icon Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies [p. 202]
Ivaldi, A.
PDF icon Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning [p. 698]
Izosimov, V.
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]

J

Jabir, A.
PDF icon MODD: A New Decision Diagram and Representation for Multiple Output Binary Functions [p. 1388]
Jacobson, N.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Jalabert, A.
PDF icon ×pipesCompiler: A Tool for Instantiating Application Specific Networks-on-Chip [p. 884]
Jang, H.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Jantsch, A.
PDF icon System Design for DSP Applications Using the MASIC Methodology [p. 630]
PDF icon Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits [p. 690]
PDF icon Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip [p. 890]
Jerraya, A.
PDF icon Unified Component Integration Flow for Multi-Processor SoC Design and Validation [p. 1132]
PDF icon Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software [p. 1382]
Jersak, M.
PDF icon Context-Aware Performance Analysis for Efficient Embedded System Design [p. 1046]
Jha, N.
PDF icon Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies [p. 904]
PDF icon An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology [p. 974]
PDF icon Synthesis of Reversible Logic [p. 1384]
Jiang, R.
PDF icon SCORE: Spice COmpatible Reluctance Extraction [p. 948]
PDF icon Realizable Reduction for Electromagnetically Coupled RLMC Interconnects [p. 1400]
Julien, N.
PDF icon Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors [p. 666]

K

Kadayif, I.
PDF icon Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks [p. 852]
PDF icon Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors [p. 1158]
Kahng, A.
PDF icon Boosting: Min-Cut Placement with Improved Signal Delay [p. 1098]
Kamakoti, V.
PDF icon MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis [p. 922]
Kamo, A.
PDF icon Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits [p. 1327]
Kandemir, M.
PDF icon A Crosstalk Aware Interconnect with Variable Cycle Transmission [p. 102]
PDF icon Scheduling Reusable Instructions for Power Reduction [p. 148]
PDF icon Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms [p. 486]
PDF icon Impact of Data Transformations on Memory Bank Locality [p. 506]
PDF icon Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks [p. 852]
PDF icon Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors [p. 1158]
PDF icon Data Windows: A Data-Centric Approach for Query Execution in Memory-Resident Databases [p. 1352]
Kang, M.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Karandikar, S.
PDF icon Fast Comparisons of Circuit Implementations [p. 910]
Karuri, K.
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Katkoori, S.
PDF icon A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk [p. 1110]
Kazmierski, T.
PDF icon Efficient Mixed-Domain Behavioural Modeling of Ferromagnetic Hysteresis Implemented in VHDL-AMS [p. 742]
Khatri, S.
PDF icon Exploiting Crosstalk to Speed up On-Chip Buses [p. 778]
Khawam, S.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Kheterpal, V.
PDF icon Exploring Logic Block Granularity for Regular Fabrics [p. 468]
Kiely, T.
PDF icon Performance Modeling of Analog Integrated Circuits Using Least-Squares Support Vector Machines [p. 448]
Kienhuis, B.
PDF icon System Design Using Kahn Process Networks: The Compaan/Laura Approach [p. 340]
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Kim, S.
PDF icon Scheduling Reusable Instructions for Power Reduction [p. 148]
Kim, Y.
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
Kinniment, D.
PDF icon Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit [p. 804]
Kocan, F.
PDF icon Enhancing Reliability of Operational Interconnections in FPGAs [p. 746]
Koelmans, A.
PDF icon An Asynchronous Synthesis Toolset Using Verilog [p. 724]
Kogel, T.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Kol, R.
PDF icon Asynchronous Design by Conversion: Converting Synchronous Circuits into Asynchronous Ones [p. 870]
Kolcu, I.
PDF icon Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors [p. 1158]
Kondratyev, A.
PDF icon Eliminating False Positives in Crosstalk Noise Analysis [p. 1192]
PDF icon From Synchronous to Asynchronous: An Automatic Approach [p. 1368]
Kong, J.
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
Koorapaty, A.
PDF icon Exploring Logic Block Granularity for Regular Fabrics [p. 468]
Kretzschmar, C.
PDF icon Why Transition Coding for Power Minimization of On-Chip Buses Does Not Work [p. 512]
Krogh, B.
PDF icon Hierarchical Adaptive Dynamic Power Management [p. 136]
Krupnova, H.
PDF icon Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience [p. 1236]
Kubota, H.
PDF icon Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits [p. 1327]
Kuchcinski, K.
PDF icon Time-Energy Design Space Exploration for Multi-Layer Memory Architectures [p. 318]
Kuehlmann, A.
PDF icon Enhanced Diameter Bounding via Structural Transformation [p. 36]
Kukula, J.
PDF icon Using Counter Example Guided Abstraction Refinement to Find Complex Bugs [p. 156]
Kumar, M.
PDF icon MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis [p. 922]
Kumar, N.
PDF icon Profile Guided Management of Code Partitions for Embedded Systems [p. 1396]
Kundu, S.
PDF icon A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuits [p. 1078]
Kunz, W.
PDF icon Arithmetic Reasoning in DPLL-Based SAT Solving [p. 30]
Künzli, S.
PDF icon Workload Characterization Model for Tasks with Variable Execution Demand [p. 1040]
Kuo, W.
PDF icon Decomposition of Instruction Decoder for Low Power Design [p. 664]
Kwon, Y.
PDF icon Functional Coverage Metric Generation from Temporal Event Relation Graph [p. 670]
Kyung, C.
PDF icon Functional Coverage Metric Generation from Temporal Event Relation Graph [p. 670]

L

Lai, F.
PDF icon Value-Conscious Cache: Simple Technique for Reducing Cache Access Power [p. 16]
Lamarre, L. de
PDF icon Automatic Synthesis and Simulation of Continuous-Time ΣΔ Modulators [p. 674]
Lampropoulos, M.
PDF icon Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique [p. 1372]
Lan, H.
PDF icon Synthesized Compact Models (SCM) of Substrate Noise Coupling Analysis and Synthesis in Mixed-Signal ICs [p. 836]
Landrault, C.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
Laouamri, O.
PDF icon Enhancing Testability of System on Chips Using Network Management Protocols [p. 1370]
Lapalme, J.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Lattanzi, E.
PDF icon Power-Aware Network Swapping for Wireless Palmtop PCs [p. 858]
Laurent, J.
PDF icon Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors [p. 666]
Lauwereins, R.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
PDF icon Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study [p. 1224]
Lavagno, L.
PDF icon SoftContract: An Assertion-Based Software Development Process that Enables Design-by-Contract [p. 358]
PDF icon Implementation of a UMTS Turbo-decoder on a Dynamically Reconfigurable Platform [p. 1218]
PDF icon From Synchronous to Asynchronous: An Automatic Approach [p. 1368]
Lavigueur, B.
PDF icon A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors [p. 364]
Lee, D.
PDF icon Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization [p. 494]
Lee, H.
PDF icon Supporting Cache Coherence in Heterogeneous Multiprocessor Systems [p. 1150]
Lee, J.
PDF icon Managing Don't Cares in Boolean Satisfiability [p. 260]
Lee, K.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Lee, M.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Leger, G.
PDF icon A Method for Parameter Extraction of Analog Sine-Wave Signals for Mixed-Signal Built-In-Self-Test Applications [p. 298]
PDF icon A Digital Test for First-Order ΣΔModulators [p. 706]
Leininger, A.
PDF icon Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Codes [p. 1302]
Leupers, R.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Leveugle, R.
PDF icon Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow [p. 590]
Levitan, S.
PDF icon An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation [p. 1356]
Li, B.
PDF icon A Novel SAT All-Solutions Solver for Efficient Preimage Computation [p. 272]
Li, H.
PDF icon Hierarchical Modeling and Simulation of Large Analog Circuits [p. 740]
Li, L.
PDF icon A Crosstalk Aware Interconnect with Variable Cycle Transmission [p. 102]
Li, R.
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Li, Y.
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
Lim, S.
PDF icon Net and Pin Distribution for 3D Package Global Routing [p. 1410]
Lin, A.
PDF icon Improved Symbolic Simulation by Dynamic Functional Space Partitioning [p. 42]
Lin, C.
PDF icon Wire Retiming for System-On-Chip by Fixpoint Computation [p. 1092]
Linardis, P.
PDF icon Efficient Static Compaction of Test Sequence Sets through the Application of Set Covering Techniques [p. 194]
Linten, D.
PDF icon Extended Subspace Identification of Improper Linear Systems [p. 454]
Liu, J.
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Liu, X.
PDF icon Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets [p. 1296]
Liu, Y.
PDF icon Crosstalk Minimization in Logic Synthesis for PLA [p. 790]
Liuha, P.
PDF icon GRAAL -- A Development Framework for Embedded Graphics Accelerators [p. 1366]
Liveris, N.
PDF icon Power Aware Interface Synthesis for Bus-Based SoC Design [p. 864]
Loghi, M.
PDF icon Analyzing On-Chip Communication in a MPSoC Environment [p. 752]
Lombardi, F.
PDF icon Fault Tolerance of Programmable Switch Blocks [p. 1358]
PDF icon Testing of Quantum Dot Cellular Automata Based Designs [p. 1408]
Lorenz, M.
PDF icon Phase Coupled Code Generation for DSPs Using a Genetic Algorithm [p. 1270]
Lotfi, R.
PDF icon Systematic Design for Optimization of High-Resolution Pipelined ADCs [p. 678]
Louërat, M.
PDF icon Automatic Synthesis and Simulation of Continuous-Time ΣΔ Modulators [p. 674]
Lu, Y.
PDF icon Dynamic Power Management Using Date Buffers [p. 526]
Lv, T.
PDF icon A Case Study in Networks-on-Chip Design for Embedded Video [p. 770]
Lwin, K.
PDF icon From Synchronous to Asynchronous: An Automatic Approach [p. 1368]
Lysecky, R.
PDF icon A Self-Tuning Cache Architecture for Embedded Systems [p. 142]
PDF icon A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning [p. 480]

M

Macchiarulo, L.
PDF icon Issues in Implementing Latency Insensitive Protocols [p. 1390]
Macii, A.
PDF icon Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning [p. 698]
Macii, E.
PDF icon A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks [p. 500]
PDF icon Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning [p. 698]
PDF icon Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC [p. 700]
PDF icon Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating [p. 720]
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Maex, K.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
Mak, T.
PDF icon Are Our Designs for Testability Features Fault Secure? [p. 714]
Makris, Y.
PDF icon On Concurrent Error Detection with Bounded Latency in FSMs [p. 596]
Malik, S.
PDF icon Using a Communication Architecture Specification in an Application-Driven Retargetable Prototyping Platform for Multiprocessing [p. 1244]
Mamagkakis, S.
PDF icon Dynamic Memory Management Design Methodology for Reduced Memory. Footprint in Multimedia and Wireless Network Applications [p. 532]
Man, H. De
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
Mandal, C.
PDF icon A New Approach to Timing Analysis Using Event Propagation and Temporal Logic [p. 1198]
Manolios, P.
PDF icon Automatic Verification of Safety and Liveness for XScale-Like Processor Models Using WEB Refinements [p. 168]
Mantooth, H.
PDF icon Identification and Modeling of Nonlinear Dynamic Behavior in Analog Circuits [p. 460]
Marculescu, D.
PDF icon Local Decisions and Triggering Mechanisms for Dynamic Fault Tolerance [p. 968]
Marculescu, R.
PDF icon Hierarchical Adaptive Dynamic Power Management [p. 136]
PDF icon Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures Under Real-Time Constraints [p. 234]
PDF icon Distributed Multimedia System Design: A Holistic Perspective [p. 1342]
Marek-Sadowska, M.
PDF icon Eliminating False Positives in Crosstalk Noise Analysis [p. 1192]
Marienfeld, D.
PDF icon A New Self-Checking Sum-Bit Duplicated Carry-Select Adder [p. 1360]
Marinissen, E.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Markov, I.
PDF icon Breaking Instance-Independent Symmetries in Exact Graph Coloring [p. 324]
PDF icon Smaller Two-Qubit Circuits for Quantum Communication and Computation [p. 980]
PDF icon Boosting: Min-Cut Placement with Improved Signal Delay [p. 1098]
PDF icon High-Performance QuIDD-Based Simulation of Quantum Circuits [p. 1354]
Martens, E.
PDF icon A Phase-Frequency Transfer Description of Analog and Mixed-Signal Front-End Architectures for System-Level Design [p. 436]
Martin, E.
PDF icon Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors [p. 666]
Martin, G.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
Martinez, J.
PDF icon An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation [p. 1356]
Martini, S.
PDF icon Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC [p. 564]
Martorell, F.
PDF icon A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation [p. 1362]
Marwedel, P.
PDF icon Cache-Aware Scratchpad Allocation Algorithm [p. 1264]
PDF icon Phase Coupled Code Generation for DSPs Using a Genetic Algorithm [p. 1270]
Marzocca, C.
PDF icon Pseudo-Random Sequence Based Tuning System for Continuous-Time Filters [p. 94]
Masson. P.
PDF icon A Tunneling Model for Gate Oxide Failure in Deep Sub-Micron Technology [p. 1404]
Masu, K.
PDF icon ULSI Interconnect Length Distribution Model Considering Core Utilization [p. 1210]
Matarrese, G.
PDF icon Pseudo-Random Sequence Based Tuning System for Continuous-Time Filters [p. 94]
Mathieu, Y.
PDF icon CMOS Structures Suitable for Secured Hardware [p. 1414]
Maxiaguine, A.
PDF icon Workload Characterization Model for Tasks with Variable Execution Demand [p. 1040]
McIntyre, D.
PDF icon Test Compression and Hardware Decompression for Scan-Based SoCs [p. 716]
Mei, B.
PDF icon Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study [p. 1224]
Meijs, N. van der
PDF icon Statistically Aware Buffer Planning [p. 1402]
Mendias, J.
PDF icon Dynamic Memory Management Design Methodology for Reduced Memory. Footprint in Multimedia and Wireless Network Applications [p. 532]
Mendías, J.
PDF icon Behavioural Bitwise Scheduling Based on Computational Effort Balancing [p. 684]
Mercer, M.
PDF icon Balanced Excitation and its Effect on the Fortuitous Detection of Dynamic Defects [p. 1066]
Metra, C.
PDF icon Are Our Designs for Testability Features Fault Secure? [p. 714]
Meyr, H.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Michalke, T.
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Micheli, G. De
PDF icon ×pipesCompiler: A Tool for Instantiating Application Specific Networks-on-Chip [p. 884]
PDF icon Bandwidth-Constrained Mapping of Cores onto NoC Architectures [p. 896]
Mieyeville, F.
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Millberg, M.
PDF icon Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip [p. 890]
Mine, T.
PDF icon Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits [p. 1327]
Minz, J.
PDF icon Net and Pin Distribution for 3D Package Global Routing [p. 1410]
Mir, S.
PDF icon A 0.18 µm CMOS Implementation of On-Chip Analogue Test Signal Generation from Digital Test Patterns [p. 704]
Miranda, M.
PDF icon Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies [p. 202]
Mishra, P.
PDF icon Graph-Based Functional Test Program Generation for Pipelined Processors [p. 182]
Miyoshi, T.
PDF icon Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis [p. 610]
Mohan, C.
PDF icon Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? [p. 668]
Mohapatra, S.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Molina, M.
PDF icon Behavioural Bitwise Scheduling Based on Computational Effort Balancing [p. 684]
Molnos, A.
PDF icon Compositional Memory Systems for Data Intensive Applications [p. 728]
Mondal, A.
PDF icon A New Approach to Timing Analysis Using Event Propagation and Temporal Logic [p. 1198]
Montiel-Nelson, J.
PDF icon A Direct Bootstrapped CMOS Large Capacitive-Load Driver Circuit [p. 680]
Mooney, V.
PDF icon Timing Analysis for Preemptive Multi-Tasking Real-Time Systems with Caches [p. 1034]
Mouchard, G.
PDF icon A New Optimized Implementation of the SystemC Engine Using Acyclic Scheduling [p. 552]
Mousavi, M.
PDF icon Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks [p. 384]
Mueller, W.
PDF icon Model-Based Specification and Execution of Embedded Real-Time Systems [p. 1392]
Muhmenthaler, P.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
PDF icon Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Codes [p. 1302]
Müller, D.
PDF icon Why Transition Coding for Power Minimization of On-Chip Buses Does Not Work [p. 512]
Murali, S.
PDF icon ×pipesCompiler: A Tool for Instantiating Application Specific Networks-on-Chip [p. 884]
PDF icon Bandwidth-Constrained Mapping of Cores onto NoC Architectures [p. 896]
Murgai, R.
PDF icon Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis [p. 610]
PDF icon Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction [p. 824]
Mycroft, A.
PDF icon Overhead-free Polymorphism in Network-on-Chip Implementation of Object-Oriented Models [p. 1380]

N

Nacul, A.
PDF icon Dynamic Voltage and Cache Reconfiguration for Low Power [p. 1376]
Nagari, A.
PDF icon A 2.7V 350µW 11-b Algorithmic Analog-to-Digital Converter with Single-Ended Multiplexed Inputs [p. 76]
Nakashima, H.
PDF icon ULSI Interconnect Length Distribution Model Considering Core Utilization [p. 1210]
Nandi, A.
PDF icon Extraction of Schematic Array Models for Memory Circuits [p. 570]
Nannarelli, A.
PDF icon A Tool for Automatic Generation of RTL-Level VHDL Description of RNS FIR Filters [p. 686]
Narahari, B.
PDF icon Flexible Software Protection Using Hardware/Software Codesign Techniques [p. 636]
Nardi, A.
PDF icon Synthesis for Manufacturability: A Sanity Check [p. 796]
Natale, M. de
PDF icon SoftContract: An Assertion-Based Software Development Process that Enables Design-by-Contract [p. 358]
Näthke, L.
PDF icon Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques [p. 442]
Navarro, H.
PDF icon A Direct Bootstrapped CMOS Large Capacitive-Load Driver Circuit [p. 680]
Negreiros, M.
PDF icon Low Cost Analog Testing of RF Signal Paths [p. 292]
Nelson, J.
PDF icon Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach [p. 1144]
Niar, S.
PDF icon Adaptive Prefetching for Multimedia Applications in Embedded Systems [p. 1350]
Nicolaescu, D.
PDF icon Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors [p. 1374]
Nicolau, A.
PDF icon Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow [p. 114]
PDF icon Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures [p. 474]
Nicolescu, G.
PDF icon .NET Framework -- A Solution for the Next Generation Tools for System-Level Modeling and Simulation [p. 732]
Nicolici, N.
PDF icon Wrapper Design for Testing IP Cores with Multiple Clock Domains [p. 416]
Nicollini, G.
PDF icon A 2.7V 350µW 11-b Algorithmic Analog-to-Digital Converter with Single-Ended Multiplexed Inputs [p. 76]
Niemann, J.
PDF icon A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoCs [p. 758]
Nieuwland, A.
PDF icon Why Transition Coding for Power Minimization of On-Chip Buses Does Not Work [p. 512]
Niittylahti, J.
PDF icon Scalar Metric for Temporal Locality and Estimation of Cache Performance [p. 730]
Nikitovic, M.
PDF icon A Low Power Strategy for Future Mobile Terminals [p. 702]
Nilsson, E.
PDF icon Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip [p. 890]
Nohl, A.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
Nourani, M.
PDF icon SoC Test Scheduling with Power-Time Tradeoff and Hot Spot Avoidance [p. 710]
PDF icon Nine-Coded Compression Technique with Application to Reduced Pin-Count Testing and Flexible On-Chip Decompression [p. 1284]

O

O'Connor, I.
PDF icon Extremely Low-Power Logic [p. 656]
PDF icon Design and Behavioral Modeling Tools for Optical Network-On-Chip [p. 738]
Öberg, J.
PDF icon System Design for DSP Applications Using the MASIC Methodology [p. 630]
Ocheretnij, V.
PDF icon A New Self-Checking Sum-Bit Duplicated Carry-Select Adder [p. 1360]
Oh, C.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]
Okada, K.
PDF icon ULSI Interconnect Length Distribution Model Considering Core Utilization [p. 1210]
Olbrich, M.
PDF icon Placement Using a Localization Probability Model (LPM) [p. 1412]
Oliveira, A.
PDF icon A Probabilistic Method for the Computation of Testability of RTL Constructs [p. 176]
Omaña, M.
PDF icon Are Our Designs for Testability Features Fault Secure? [p. 714]
Ong, C.
PDF icon Random Jitter Extraction Technique in a Multi-Gigahertz Signal [p. 286]
Orailoglu, A.
PDF icon Scan Power Minimization through Stimulus and Response Transformations [p. 404]
PDF icon CircularScan: A Scan Architecture for Test Cost Reduction [p. 1290]
Osseiran, A.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Otten, R.
PDF icon Statistically Aware Buffer Planning [p. 1402]

P

Pacalet, R.
PDF icon CMOS Structures Suitable for Secured Hardware [p. 1414]
Paccagnella, A.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Padmanaban, S.
PDF icon Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults [p. 50]
Pai, A.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Panda, R.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]
Papachristou C.
PDF icon Test Compression and Hardware Decompression for Scan-Based SoCs [p. 716]
PDF icon Designing Self Test Programs for Embedded DSP Cores [p. 816]
Parameswaran, S.
PDF icon MINCE: Matching INstructions with Combinational Equivalence for Extensible Processor [p. 1020]
Parikh, D.
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
Paschalis, A.
PDF icon Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors [p. 578]
Passerone, C.
PDF icon Implementation of a UMTS Turbo-decoder on a Dynamically Reconfigurable Platform [p. 1218]
Patel, K.
PDF icon Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC [p. 700]
Pathak, M.
PDF icon Net and Pin Distribution for 3D Package Global Routing [p. 1410]
Paul, J.
PDF icon Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach [p. 1144]
Paulin, P.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
Pêcheux, F.
PDF icon STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores [p. 712]
Pedram, M.
PDF icon Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times [p. 4]
PDF icon Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling [p. 252]
PDF icon A Game Theoretic Approach to Low Energy Wireless Video Streaming [p. 696]
PDF icon Distributed Multimedia System Design: A Holistic Perspective [p. 1342]
Peng, Z.
PDF icon Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems [p. 518]
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]
PDF icon Quasi-Static Scheduling for Real-Time Systems with Hard and Soft Tasks [p. 1176]
Peralías, E.
PDF icon Digital Background Gain Error Correction in Pipeline ADCs [p. 82]
Perbellini, G.
PDF icon Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC [p. 564]
Pereira, C.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Pérez, D.
PDF icon A New Optimized Implementation of the SystemC Engine Using Acyclic Scheduling [p. 552]
Pestana, S.
PDF icon Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach [p. 764]
Phillips, J.
PDF icon Poor Man's TBR: A Simple Model Reduction Scheme [p. 938]
Pieper, J.
PDF icon Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach [p. 1144]
Piguet, C.
PDF icon Extremely Low-Power Logic [p. 656]
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Pileggi, L.
PDF icon Exploring Logic Block Granularity for Regular Fabrics [p. 468]
PDF icon An Interconnect Channel Design Methodology for High Performance Integrated Circuits [p. 1138]
Pinello, C.
PDF icon Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications [p. 1164]
Pintelon, R.
PDF icon Extended Subspace Identification of Improper Linear Systems [p. 454]
Pisharath, J.
PDF icon Data Windows: A Data-Centric Approach for Query Execution in Memory-Resident Databases [p. 1352]
Plas, G. Van Der
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
Poirier, R.
PDF icon An Arithmetic Structure for Test Data Horizontal Compression [p. 428]
Pol, E.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Pomeranz, I.
PDF icon Level of Similarity: A Metric for Fault Collapsing [p. 56]
PDF icon Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis [p. 68]
Poncino, M.
PDF icon Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC [p. 564]
PDF icon Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC [p. 700]
Pop, P.
PDF icon Design Optimization of Multi-Cluster Embedded Systems for Real-Time Applications [p. 1028]
Porrmann, M.
PDF icon A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoCs [p. 758]
Portal, J.
PDF icon A Tunneling Model for Gate Oxide Failure in Deep Sub-Micron Technology [p. 1404]
Posadas, H.
PDF icon System-Level Performance Analysis in SystemC [p. 378]
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Post, G.
PDF icon A SystemC-Based Verification Methodology for Complex Wireless Software IP [p. 544]
Pozzi, L.
PDF icon Operating System Support for Interface Virtualization of Reconfigurable Coprocessors [p. 748]
Pradhan, D.
PDF icon MODD: A New Decision Diagram and Representation for Multiple Output Binary Functions [p. 1388]
Pravossoudovitch, S.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
Prenat, G.
PDF icon A 0.18 µm CMOS Implementation of On-Chip Analogue Test Signal Generation from Digital Test Patterns [p. 704]
Proust, P.
PDF icon High Security Smartcards [p. 228]
Provost, J.
PDF icon CMOS Structures Suitable for Secured Hardware [p. 1414]

Q

Qi, Z.
PDF icon Hierarchical Modeling and Simulation of Large Analog Circuits [p. 740]
Quinn, D.
PDF icon A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors [p. 364]

R

Radetzki, M.
PDF icon Measurement of IP Qualification Costs and Benefits [p. 996]
Radulescu, A.
PDF icon Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach [p. 764]
PDF icon An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration [p. 878]
Rahimi, K.
PDF icon Timing Correction and Optimization with Adaptive Delay Sequential Elements [p. 1416]
Rajski, J.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Ramani, A.
PDF icon Breaking Instance-Independent Symmetries in Exact Graph Coloring [p. 324]
Ramesh, S.
PDF icon Synchronous Protocol Automata: A Framework for Modelling and Verification of SoC Communication Architectures [p. 390]
Ran, Y.
PDF icon Eliminating False Positives in Crosstalk Noise Analysis [p. 1192]
Ranjan, M.
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
Raudvere, T.
PDF icon Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits [p. 690]
Ray, T.
PDF icon A SystemC-Based Verification Methodology for Complex Wireless Software IP [p. 544]
Raychowdhury, A.
PDF icon Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis [p. 708]
Re, A. Del
PDF icon A Tool for Automatic Generation of RTL-Level VHDL Description of RNS FIR Filters [p. 686]
Re, M.
PDF icon A Tool for Automatic Generation of RTL-Level VHDL Description of RNS FIR Filters [p. 686]
Rebaudengo, M.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Reda, S.
PDF icon Boosting: Min-Cut Placement with Improved Signal Delay [p. 1098]
Reddy, S.
PDF icon Level of Similarity: A Metric for Fault Collapsing [p. 56]
PDF icon Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis [p. 68]
PDF icon Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis [p. 610]
Reed, D.
PDF icon An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation [p. 1356]
Rekhi, S.
PDF icon Microarchitecture Development via Metropolis Successive Platform Refinement [p. 346]
Ren, Z.
PDF icon Hierarchical Adaptive Dynamic Power Management [p. 136]
Renaudin, M.
PDF icon High Security Smartcards [p. 228]
Reorda, M. Sonza
PDF icon Automatic Generation of Validation Stimuli for Application-Specific Processors [p. 188]
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Righetti, L.
PDF icon Operating System Support for Interface Virtualization of Reconfigurable Coprocessors [p. 748]
Rigo, S.
PDF icon Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology [p. 734]
Rijpkema, E.
PDF icon Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach [p. 764]
PDF icon An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration [p. 878]
Rizk, H.
PDF icon Designing Self Test Programs for Embedded DSP Cores [p. 816]
Rolíndez, L.
PDF icon A 0.18 µm CMOS Implementation of On-Chip Analogue Test Signal Generation from Digital Test Patterns [p. 704]
Rosa, A. La
PDF icon Implementation of a UMTS Turbo-decoder on a Dynamically Reconfigurable Platform [p. 1218]
Rosenstiel, W.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
PDF icon Communication Analysis for System on Chip Design [p. 648]
PDF icon Measurement of IP Qualification Costs and Benefits [p. 996]
Rosinger, P.
PDF icon Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique [p. 1372]
Ross, J.
PDF icon Efficient Mixed-Domain Behavioural Modeling of Ferromagnetic Hysteresis Implemented in VHDL-AMS [p. 742]
Rosselló, J.
PDF icon A Compact Propagation Delay Model for Deep-Submicron CMOS Technologies including Crosstalk [p. 954]
Rouzeyre, B.
PDF icon An Arithmetic Structure for Test Data Horizontal Compression [p. 428]
Roy, K.
PDF icon Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis [p. 708]
Roychowdhury, J.
PDF icon Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction [p. 824]
Rückert, U.
PDF icon A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoCs [p. 758]
Rueda, A.
PDF icon Digital Background Gain Error Correction in Pipeline ADCs [p. 82]
PDF icon A Method for Parameter Extraction of Analog Sine-Wave Signals for Mixed-Signal Built-In-Self-Test Applications [p. 298]
PDF icon A Digital Test for First-Order ΣΔModulators [p. 706]
Ruiz-Sautua, R.
PDF icon Behavioural Bitwise Scheduling Based on Computational Effort Balancing [p. 684]
Russell, G.
PDF icon Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit [p. 804]

S

Safarpour, S.
PDF icon Managing Don't Cares in Boolean Satisfiability [p. 260]
Sakallah, K.
PDF icon Breaking Instance-Independent Symmetries in Exact Graph Coloring [p. 324]
Sakanushi, K.
PDF icon Architecture-Level Performance Estimation for IP-Based Embedded Systems [p. 1002]
Sampath, H.
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
PDF icon Accurate Estimation of Parasitic Capacitances in Analog Circuits [p. 1364]
Sánchez, C.
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Sánchez, P.
PDF icon System-Level Performance Analysis in SystemC [p. 378]
PDF icon Platform Based on Open-Source Cores for Industrial Applications [p. 1014]
Sander, I.
PDF icon Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits [p. 690]
Sangiovanni-Vincentelli, A.
PDF icon Microarchitecture Development via Metropolis Successive Platform Refinement [p. 346]
PDF icon A Methodology for System-Level Analog Design Space Exploration [p. 676]
PDF icon Synthesis for Manufacturability: A Sanity Check [p. 796]
PDF icon Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications [p. 1164]
Sankaranarayanan, K.
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
Santos, M.
PDF icon A Probabilistic Method for the Computation of Testability of RTL Constructs [p. 176]
Sapatnekar, S.
PDF icon Optimization of Integrated Spiral Inductors Using Sequential Quadratic Programming [p. 622]
PDF icon Fast Comparisons of Circuit Implementations [p. 910]
Sapei, F.
PDF icon Impact of Test Point Insertion on Silicon Area and Timing during Layout [p. 810]
Sbeyti, H.
PDF icon Adaptive Prefetching for Multimedia Applications in Embedded Systems [p. 1350]
Scharwaechter, H.
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Schattkowsky, T.
PDF icon Model-Based Specification and Execution of Embedded Real-Time Systems [p. 1392]
Schaumont, P.
PDF icon Interactive Cosimulation with Partial Evaluation [p. 642]
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Schlebusch, H.
PDF icon System Verilog for VHDL Users [p. 1334]
Schlichtmann, U.
PDF icon Extremely Low-Power Logic [p. 656]
Schmit, H.
PDF icon An Interconnect Channel Design Methodology for High Performance Integrated Circuits [p. 1138]
Schmitz, M.
PDF icon Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems [p. 518]
Sciuto, D.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
Seceleanu, T.
PDF icon Aspects of Formal and Graphical Design of a Bus System [p. 396]
Seetharaman, D.
PDF icon A SystemC-Based Verification Methodology for Complex Wireless Software IP [p. 544]
Segura, J.
PDF icon A Compact Propagation Delay Model for Deep-Submicron CMOS Technologies including Crosstalk [p. 954]
Sehgal, A.
PDF icon Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures [p. 422]
Senn, E.
PDF icon Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors [p. 666]
Seshadri, B.
PDF icon Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis [p. 68]
Sezer, U.
PDF icon Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms [p. 486]
Shang, D.
PDF icon An Asynchronous Synthesis Toolset Using Verilog [p. 724]
Shende, V.
PDF icon Smaller Two-Qubit Circuits for Quantum Communication and Computation [p. 980]
Sheng, S.
PDF icon A Novel SAT All-Solutions Solver for Efficient Preimage Computation [p. 272]
Shi, C.
PDF icon Hierarchical Multi-Dimensional Table Lookup for Model Compiler Based Circuit Simulation [p. 1310]
Shim, K.
PDF icon High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study [p. 538]
Shin, C.
PDF icon Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design [p. 352]
Shoaei, O.
PDF icon Systematic Design for Optimization of High-Resolution Pipelined ADCs [p. 678]
Shogan, S.
PDF icon Compact Binaries with Code Compression in a Software Dynamic Translator [p. 1052]
Shukla, S.
PDF icon Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks [p. 384]
Siebenborn, A.
PDF icon Communication Analysis for System on Chip Design [p. 648]
Silburt, A.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
Silveira, L.
PDF icon Poor Man's TBR: A Simple Model Reduction Scheme [p. 938]
Simha, R.
PDF icon Flexible Software Protection Using Hardware/Software Codesign Techniques [p. 636]
Sinanoglu, O.
PDF icon Scan Power Minimization through Stimulus and Response Transformations [p. 404]
Singh, A.
PDF icon Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits [p. 690]
Singh, M.
PDF icon Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures [p. 1008]
Singh, S.
PDF icon A Demonstration of Co-Design and Co-Verification in a Synchronous Language [p. 1394]
Skadron, K.
PDF icon Hybrid Architectural Dynamic Thermal Management [p. 10]
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
Sogomonyan, E.
PDF icon A New Self-Checking Sum-Bit Duplicated Carry-Select Adder [p. 1360]
Soma, R.
PDF icon Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times [p. 4]
Someren, H. van
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Sosa, J.
PDF icon A Direct Bootstrapped CMOS Large Capacitive-Load Driver Circuit [p. 680]
Sotiriou, C.
PDF icon Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits [p. 672]
PDF icon From Synchronous to Asynchronous: An Automatic Approach [p. 1368]
Soudris, D.
PDF icon Dynamic Memory Management Design Methodology for Reduced Memory. Footprint in Multimedia and Wireless Network Applications [p. 532]
Sourgen, L.
PDF icon High Security Smartcards [p. 228]
Sowmya, A.
PDF icon Synchronous Protocol Automata: A Framework for Modelling and Verification of SoC Communication Architectures [p. 390]
Spirakis, G.
Opportunities and Challenges in Building Silicon Products in 65nm and Beyond [p. 2]
Srinivasan, G.
PDF icon Efficient Test Strategy for TDMA Power Amplifiers Using Transient Current Measurements: Uses and Benefits [p. 280]
Srinivasan, R.
PDF icon A Framework for Battery-Aware Sensor Management [p. 962]
Srinivasan, S.
PDF icon Automatic Verification of Safety and Liveness for XScale-Like Processor Models Using WEB Refinements [p. 168]
Srivastava, A.
PDF icon Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design [p. 718]
Srouji, J.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
Stan, M.
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
PDF icon A Unified Design Space for Regular Parallel Prefix Adders [p. 1386]
Stanley-Marbell, P.
PDF icon Local Decisions and Triggering Mechanisms for Dynamic Fault Tolerance [p. 968]
Stefanov, T.
PDF icon System Design Using Kahn Process Networks: The Compaan/Laura Approach [p. 340]
Stoffel, D.
PDF icon Arithmetic Reasoning in DPLL-Based SAT Solving [p. 30]
PDF icon Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor [p. 162]
Suh, T.
PDF icon Supporting Cache Coherence in Heterogeneous Multiprocessor Systems [p. 1150]
Sunter, S.
PDF icon Status of IEEE Testability Standards 1149.4, 1532 and 1149.6 [p. 1184]
Sur-Kolay, S.
PDF icon A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuits [p. 1078]
Susin, A.
PDF icon Low Cost Analog Testing of RF Signal Paths [p. 292]
Swan, S.
PDF icon SystemC and System Verilog: Where do They Fit? Where are they going? [p. 122]
Sylvester, D.
PDF icon Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization [p. 494]
PDF icon Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design [p. 718]
Szymanek, R.
PDF icon Time-Energy Design Space Exploration for Multi-Layer Memory Architectures [p. 318]

T

Taherzadeh-Sani, M.
PDF icon Systematic Design for Optimization of High-Resolution Pipelined ADCs [p. 678]
Tahoori, M.
PDF icon Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis [p. 610]
PDF icon Fault Tolerance of Programmable Switch Blocks [p. 1358]
PDF icon Testing of Quantum Dot Cellular Automata Based Designs [p. 1408]
Takeuchi, Y.
PDF icon Architecture-Level Performance Estimation for IP-Based Embedded Systems [p. 1002]
Talpin, J.
PDF icon Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks [p. 384]
Tan, S.
PDF icon Hierarchical Modeling and Simulation of Large Analog Circuits [p. 740]
Tan, Y.
PDF icon Timing Analysis for Preemptive Multi-Tasking Real-Time Systems with Caches [p. 1034]
Taylor, R.
PDF icon Co-Processor Synthesis: A New Methodology for Embedded Software Acceleration [p. 682]
Tehranipour, M.
PDF icon Nine-Coded Compression Technique with Application to Reduced Pin-Count Testing and Flexible On-Chip Decompression [p. 1284]
Teixeira, J.
PDF icon A Probabilistic Method for the Computation of Testability of RTL Constructs [p. 176]
Temam, O.
PDF icon A New Optimized Implementation of the SystemC Engine Using Acyclic Scheduling [p. 552]
Thapar, K.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Theobald, M.
PDF icon Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures [p. 1008]
Thepayasuwan, N.
PDF icon Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip [p. 108]
Thid, R.
PDF icon Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip [p. 890]
Thiele, L.
PDF icon Workload Characterization Model for Tasks with Variable Execution Demand [p. 1040]
Thomas, D.
PDF icon Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach [p. 1144]
Tiri, K.
PDF icon A Logic Level Design Methodology for a Secure DPA Resistant ASIC or FPGA Implementation [p. 246]
Tirumurti, C.
PDF icon A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuits [p. 1078]
Tiwari, A.
PDF icon Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs [p. 916]
Tomko, K.
PDF icon Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs [p. 916]
Tragoudas, S.
PDF icon Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults [p. 50]
Trylus, H.
PDF icon Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor [p. 162]
Tsai, J.
PDF icon Thermal and Power Integrity Based Power/Ground Networks Optimization [p. 830]
Tseng, H.
PDF icon Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis [p. 1072]
Tsui, C.
PDF icon Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus [p. 130]
Tual, J.
PDF icon High Security Smartcards [p. 228]
Tuna, M.
PDF icon STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores [p. 712]
Turjan, A.
PDF icon System Design Using Kahn Process Networks: The Compaan/Laura Approach [p. 340]

U

Ueda, K.
PDF icon Architecture-Level Performance Estimation for IP-Based Embedded Systems [p. 1002]

V

Vahid, F.
PDF icon A Self-Tuning Cache Architecture for Embedded Systems [p. 142]
PDF icon Automatic Tuning of Two-Level Caches to Embedded Applications [p. 208]
PDF icon Low Static-Power Frequent-Value Data Caches [p. 214]
PDF icon Using a Victim Buffer in an Application-Specific Memory Hierarchy [p. 220]
PDF icon A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning [p. 480]
Vandersteen, G.
PDF icon Extended Subspace Identification of Improper Linear Systems [p. 454]
Van Antwerpen, H.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
van Berkel, K.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
van de Steeg, P.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
Vassiliadis, S.
PDF icon GRAAL -- A Development Framework for Embedded Graphics Accelerators [p. 1366]
Vázquez, D.
PDF icon A Method for Parameter Extraction of Analog Sine-Wave Signals for Mixed-Signal Built-In-Self-Test Applications [p. 298]
Vázquez, J.
PDF icon Power Supply Noise Monitor for Signal Integrity Faults [p. 1406]
Veidenbaum, A.
PDF icon Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors [p. 1374]
Velev, M.
PDF icon Exploiting Signal Unobservability for Efficient Translation to CNF in Formal Verification of Microprocessors [p. 266]
Vellanki, P.
PDF icon A Power and Performance Model for Network-on-Chip Architectures [p. 1250]
Vemuri, R.
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
PDF icon A Fast Algorithm for Finding Maximal Empty Rectangles for Dynamic FPGA Placement [p. 744]
PDF icon Accurate Estimation of Parasitic Capacitances in Analog Circuits [p. 1364]
Veneris, A.
PDF icon Managing Don't Cares in Boolean Satisfiability [p. 260]
Venkataraghavan, P.
PDF icon A SystemC-Based Verification Methodology for Complex Wireless Software IP [p. 544]
Venkataraman, S.
PDF icon Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis [p. 68]
Venkatasubramanian, N.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Verbauwhede, I.
PDF icon A Logic Level Design Methodology for a Secure DPA Resistant ASIC or FPGA Implementation [p. 246]
PDF icon Interactive Cosimulation with Partial Evaluation [p. 642]
PDF icon Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing [p. 988]
Vergniault, M.
PDF icon Nanometer Design: What are the Requirements for Manufacturing Test? [p. 930]
Verhaegen, W.
PDF icon Fast, Layout-Inclusive Analog Circuit Synthesis Using Pre-Compiled Parasitic-Aware Symbolic Performance Models [p. 604]
Verkest, D.
PDF icon Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study [p. 1224]
Verma, M.
PDF icon Cache-Aware Scratchpad Allocation Algorithm [p. 1264]
Vernalde, S.
PDF icon Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study [p. 1224]
Viamontes, G.
PDF icon High-Performance QuIDD-Based Simulation of Quantum Circuits [p. 1354]
Viana, P.
PDF icon Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology [p. 734]
Viaud, E.
PDF icon STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores [p. 712]
Vijaykrishnan, N.
PDF icon A Crosstalk Aware Interconnect with Variable Cycle Transmission [p. 102]
PDF icon Scheduling Reusable Instructions for Power Reduction [p. 148]
Villar, E.
PDF icon System-Level Performance Analysis in SystemC [p. 378]
Violante, M.
PDF icon Automatic Generation of Validation Stimuli for Application-Specific Processors [p. 188]
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Virazel, A.
PDF icon Design of Routing-Constrained Low Power Scan Chains [p. 62]
von Vignau, R.
PDF icon Energy-Aware System Design for Wireless Multimedia [p. 1124]
Vörg, A.
PDF icon Measurement of IP Qualification Costs and Benefits [p. 996]
Vranken, H.
PDF icon Impact of Test Point Insertion on Silicon Area and Timing during Layout [p. 810]
Vrudhula, S.
PDF icon A Framework for Battery-Aware Sensor Management [p. 962]
Vuletic, M.
PDF icon Operating System Support for Interface Virtualization of Reconfigurable Coprocessors [p. 748]

W

Wagner, F.
PDF icon Unified Component Integration Flow for Multi-Processor SoC Design and Validation [p. 1132]
Wahlen, O.
PDF icon A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models [p. 1276]
Waldschmidt, K.
PDF icon Refinement of Mixed-Signal Systems with Affine Arithmetic [p. 372]
Wambacq, P.
PDF icon Digital Ground Bounce Reduction by Phase Modulation of the Clock [p. 88]
Wan, B.
PDF icon Hierarchical Multi-Dimensional Table Lookup for Model Compiler Based Circuit Simulation [p. 1310]
Wang, K.
PDF icon Crosstalk Minimization in Logic Synthesis for PLA [p. 790]
Wang, L.
PDF icon Improved Symbolic Simulation by Dynamic Functional Space Partitioning [p. 42]
PDF icon Random Jitter Extraction Technique in a Multi-Gigahertz Signal [p. 286]
PDF icon Regression Simulation: Applying Path-Based Learning in Delay Test and Post-Silicon Validation [p. 692]
PDF icon Pattern Selection for Testing of Deep Sub-Micron Timing Defects [p. 1060]
Wang, S.
PDF icon Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets [p. 1296]
Wang, T.
PDF icon Thermal and Power Integrity Based Power/Ground Networks Optimization [p. 830]
Wang, Z.
PDF icon Power Aware Variable Partitioning and Instruction Scheduling for Multiple Memory Banks [p. 312]
Wang, Z.
PDF icon Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction [p. 824]
Watanabe, T.
PDF icon Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits [p. 1327]
Watanabe, Y.
PDF icon Eliminating False Positives in Crosstalk Noise Analysis [p. 1192]
Wedler, M.
PDF icon Arithmetic Reasoning in DPLL-Based SAT Solving [p. 30]
Wehmeyer, L.
PDF icon Cache-Aware Scratchpad Allocation Algorithm [p. 1264]
Wehn, N.
PDF icon Chips of the Future: Soft, Crunchy or Hard? [p. 844]
Weigel, R.
PDF icon SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level [p. 616]
Westall, F.
PDF icon Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays [p. 1230]
Westerlund, T.
PDF icon Aspects of Formal and Graphical Design of a Bus System [p. 396]
Wieferink, A.
PDF icon A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms [p. 1256]
Wielage, P.
PDF icon An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration [p. 878]
Wilson, P.
PDF icon Efficient Mixed-Domain Behavioural Modeling of Ferromagnetic Hysteresis Implemented in VHDL-AMS [p. 742]
Wilson, R.
PDF icon How Can System Level Design Solve the Interconnect Technology Scaling Problem? [p. 332]
Wingfield, J.
PDF icon Balanced Excitation and its Effect on the Fortuitous Detection of Dynamic Defects [p. 1066]
Winkelmann, K.
PDF icon Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor [p. 162]
Wolf, W.
PDF icon A Case Study in Networks-on-Chip Design for Embedded Video [p. 770]
Wolff, F.
PDF icon Test Compression and Hardware Decompression for Scan-Based SoCs [p. 716]
PDF icon Designing Self Test Programs for Embedded DSP Cores [p. 816]
Wong, M.
PDF icon Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus [p. 1104]
Wong, S.
PDF icon Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus [p. 130]
Wu, A.
PDF icon Decomposition of Instruction Decoder for Low Power Design [p. 664]
Wunderlich, H.
PDF icon Impact of Test Point Insertion on Silicon Area and Timing during Layout [p. 810]

X

Xiong, J.
PDF icon Full-Chip Multilevel Routing for Power and Signal Integrity [p. 1116]
Xu, A.
PDF icon An Interconnect Channel Design Methodology for High Performance Integrated Circuits [p. 1138]
Xu, J.
PDF icon A Case Study in Networks-on-Chip Design for Embedded Video [p. 770]
Xu, Q.
PDF icon Wrapper Design for Testing IP Cores with Multiple Clock Domains [p. 416]

Y

Yakovlev, A.
PDF icon An Asynchronous Synthesis Toolset Using Verilog [p. 724]
Yang, C.
PDF icon Value-Conscious Cache: Simple Technique for Reducing Cache Access Power [p. 16]
Yang, J.
PDF icon Low Static-Power Frequent-Value Data Caches [p. 214]
Yelamanchili, V.
PDF icon Accurate Estimation of Parasitic Capacitances in Analog Circuits [p. 1364]
Yoo, S.
PDF icon Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software [p. 1382]
Youssef, M.
PDF icon Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software [p. 1382]

Z

Zafalon, R.
PDF icon Analyzing On-Chip Communication in a MPSoC Environment [p. 752]
Zambolin, P.
PDF icon Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA [p. 584]
Zambreno, J.
PDF icon Flexible Software Protection Using Hardware/Software Codesign Techniques [p. 636]
Zeng, X.
PDF icon Direct Nonlinear Order Reduction with Variational Analysis [p. 1316]
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Zhan, Y.
PDF icon Optimization of Integrated Spiral Inductors Using Sequential Quadratic Programming [p. 622]
Zhang, C.
PDF icon A Self-Tuning Cache Architecture for Embedded Systems [p. 142]
PDF icon Low Static-Power Frequent-Value Data Caches [p. 214]
PDF icon Using a Victim Buffer in an Application-Specific Memory Hierarchy [p. 220]
Zhang, R.
PDF icon Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies [p. 904]
Zhang, Y.
PDF icon State-Preserving vs. Non-State-Preserving Leakage Control in Caches [p. 22]
PDF icon Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems [p. 1170]
Zhong, L.
PDF icon Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies [p. 904]
Zhou, D.
PDF icon Direct Nonlinear Order Reduction with Variational Analysis [p. 1316]
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Zhou, H.
PDF icon Wire Retiming for System-On-Chip by Fixpoint Computation [p. 1092]
Zhou, S.
PDF icon Profile Guided Management of Code Partitions for Embedded Systems [p. 1396]
Zhou, X.
PDF icon Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method [p. 1322]
Zhu, X.
PDF icon Using a Communication Architecture Specification in an Application-Driven Retargetable Prototyping Platform for Multiprocessing [p. 1244]
Ziegler, M.
PDF icon A Unified Design Space for Regular Parallel Prefix Adders [p. 1386]
Zissulescu, C.
PDF icon System Design Using Kahn Process Networks: The Compaan/Laura Approach [p. 340]
Ziv, A.
PDF icon Stimuli Generation with Late Binding of Values [p. 558]
Zolotov, V.
PDF icon False-Noise Analysis for Domino Circuits [p. 784]