DATE 2006 TABLE OF CONTENTS

Sessions: [Keynote Addresses] [1A] [1B] [IP1] [1C] [IP2] [1E] [1F] [2A] [IP3] [2B] [IP4] [2C] [2E] [2F] [3A] [3B] [IP5] [3C] [IP6] [3D] [IP7] [3E] [3F] [4A] [4B] [IP8] [4C] [IP9] [4E] [4F] [IP10] [4G] [5A] [IP11] [5B] [IP12] [5C] [5E] [5F] [IP13] [5G] [5K] [6A] [IP14] [6B] [IP15] [6C] [IP16] [6E] [IP17] [6F] [IP18] [6G] [7A] [IP19] [7B] [7C] [IP20] [7E] [7F] [7G] [7H] [8A] [IP21] [8B] [8C] [8E] [IP22] [8F] [8G] [9A] [9B] [IP23] [9C] [IP24] [9E] [9F] [9G] [9K] [10A] [10B] [IP25] [10C] [IP26] [10E] [IP27] [10F] [IP28] [10G] [11A] [11B] [11C] [11E] [11F] [11G]

DATE Executive Committee
Technical Program Chairs
DATE Sponsors Committee
Technical Program Committee
Reviewers
Foreword
Best Paper Awards
Tutorials


Keynote Addresses

PDF icon EDA Challenges in the Converging Application World [p. 1]
R. Penning de Vries

PDF icon Sociology of Design and EDA [p. 2]
W. C. Rhines


1A: Allocation and Scheduling for MPSoCs and NoCs

Moderators: P. Eles, Linkoping U, SE; D. Atienza, DACYA/Madrid Complutense U, ES
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
M. Ruggiero, A. Guerri, D. Bertozzi, F. Poletti, and M. Milano

PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Z. Guz, I. Walter, E. Bolotin, I. Cidon, R. Ginosar and A. Kolodny

PDF icon Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study [p. 15]
S. Bertozzi, A. Acquaviva, D. Bertozzi, and A. Poggiali


1B: Power Grid and Large Interconnect Network Analysis

Moderators: L. Daniel, MIT, US; L. M. Silveira, TU Lisbon and INESC, PT
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
X. Zeng, L. Feng, Y. Su, W. Cai, D. Zhou, C. Chiang

PDF icon Large Power Grid Analysis Using Domain Decomposition [p. 27]
Q. Zhou, K. Sun, K. Mohanram and D. C. Sorensen

PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
J. Balachandran, S. Brebels, G. Carchon, T. Webers, W. De Raedt, B. Nauwelaers, and E. Beyne

PDF icon A Logarithmic Full-Chip Thermal Analysis Algorithm Based on Multi-Layer Green's Function [p. 39]
B. Wang and P. Mazumder


Interactive Presentation

PDF icon Large Scale RLC Circuit Analysis Using RLCG-MNA Formulation [p. 45]
Y. Tanji, T. Watanabe, H. Kubota and H. Asai


1C: On-Line Testing and Fault Tolerance

Moderators: R. Galivanche, Intel Corporation, US; M. Goessel, Potsdam U, DE
PDF icon Soft Delay Error Analysis in Logic Circuits [p. 47]
B. Gill, C. Papachristou and F. Wolff

PDF icon A Built-In Redundancy-Analysis Scheme for RAMS with 2D Redundancy Using 1D Local Bitmap [p. 53]
T.-W. Tseng, J.-F. Li and D.-M. Chang

PDF icon Analysis of the Impact of Bus Implemented EDCs on On-Chip SSN [p. 59]
D. Rossi, C. Steiner and C. Metra

PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
N. Kranitis, A. Merentitis, N. Laoutaris, G. Theodorou, A. Paschalis, D. Gizopoulos and C. Halatsis


Interactive Presentation

PDF icon Berger Code-Based Concurrent Error Detection in Asynchronous Burst-Mode Machines [p. 71]
S. Almukhaizim and Y. Makris


1D: Chip Design Records (System Design Records)

Moderators: G. De Micheli, EPFL, CH; R. Zafalon, STMicroelectronics, IT
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
F. Carbognani, F. Buergin, N. Felber, H. Kaeslin and W. Fichtner

PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
S.-J. Lee, K. Kim, H. Kim, N. Cho and H.-J. Yoo

PDF icon A Single Photon Avalanche Diode Array Fabricated in Deep-Submicron CMOS Technology [p. 81]
C. Niclass, M. Sergio and E. Charbon


1E: Embedded Tutorial - Model Based Design and Test

Organiser/Moderator: W. Mueller, Paderborn U, DE
PDF icon MATLAB/Simulink for Automotive Systems Design [p. 87]
J. Friedman

PDF icon Model-Based Development of In-Vehicle Software [p. 89]
M. Conrad and H. Doerr

PDF icon Model-Based Testing of Automotive Electronics [p. 91]
K. Lamberg

PDF icon Designing Signal Processing Systems for FPGAs [p. 92]
J. Heighton

PDF icon From UML/SysML to Matlab/Simulink: Current State and Future Perspectives [p. 93]
Y. Vanderperren and W. Dehaene


1F: Transaction Level Modeling Based Validation

Moderators: F. Fummi, Verona U, IT; I. Harris, UC Irvine, US
PDF icon An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles [p. 94]
E. Viaud, F. Pecheux and A. Greiner

PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
G. Beltrame, D. Sciuto, C. Silvano, D. Lyonnard and C. Pilkington

PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
A. Habibi, S. Tahar, A. Samarah, D. Li and O. A. Mohamed

PDF icon Constructing Portable Compiled Instruction-Set Simulators -- An ADL-Driven Approach [p. 112]
J. D'Errico and W. Qin


2A: Application-Specific Network on Chip Design

Moderators: M. Coppola, STMicroelectronics, FR; B. Candaele, Thales Communications, FR
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
S. Murali, M. Coenen, A. Radulescu, K. Goossens, and G. De Micheli

PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
F. Angiolini, P. Meloni, S. Carta, L. Benini and L. Raffo

PDF icon A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures [p. 130]
K. Srinivasan and K. S. Chatha


Interactive Presentation

PDF icon A Dynamically Reconfigurable Packet-Switched Network-on-Chip [p. 136]
T. Pionteck, C. Albrecht and R. Koch


2B: Methods and Tools for Systematic Analogue Design

Moderators: T. Ifstroem, Robert Bosch GmbH, DE; E. Martens, KU Leuven, BE
PDF icon Arbitrary Design of High Order Noise Transfer Function for a Novel Class of Reduced-Sample- Rate Delta-Sigma-Pipeline ADCs [p. 138]
V. Majidzadeh and O. Shoaei

PDF icon Systematic and Optimal Design of CMOS Two-Stage Opamps with Hybrid Cascode Compensation [p. 144]
M. Yavari, O. Shoaei and A. Rodriguez-Vazquez

PDF icon Systematic Stability-Analysis Method for Analog Circuits [p. 150]
G. Vandersteen, S. Bronckers, P. Dobrovolny and Y. Rolain

PDF icon ALAMO: An Improved Sigma-Space Based Methodology for Modeling Process Parameter Variations in Analog Circuits [p. 156]
H. Zhang, Y. Zhao and A. Doboli


Interactive Presentation

PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
V. Giannini, P. Nuzzo, F. De Bernardinis, J. Craninckx, B. Come, S. D'Amico and A. Baschirotto


2C: Soft Error Analysis and Concurrent Testing

Moderators: S. Piestrak, Metz U, FR; D. Gizopoulos, Pireaus U, GR
PDF icon An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits [p. 164]
R. Rao, K. Chopra, D. Blaauw and D. Sylvester

PDF icon Low-Cost and Highly Reliable Detector for Transient and Crosstalk Faults Affecting FPGA Interconnects [p. 170]
M. Omaña, J. M. Cazeaux; D. Rossi and C. Metra

PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
U. Krautz, M. Pflanz, C. Jacobi, H. W. Tast, K. Weber and H. T. Vierhaus

PDF icon Soft-Error Classification and Impact Analysis on Real-Time Operating Systems [p. 182]
N. Ignat, B. Nicolescu, Y. Savaria and G. Nicolescu


2D: System Design Records (System Design Records)

Moderators: R. Lauwereins, IMEC, BE; J. Becker, Karlsruhe U, DE
PDF icon 40Gbps De-Layered Silicon Protocol Engine for TCP Record [p. 188]
H. Shrikumar

PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
A. do Carmo Lucas, S. Heithecker, P. Rueffer, R. Ernst, H. Rueckert, G. Wischermann, K. Gebel, R. Fach, W. Huther, S. Eichner and G. Scheller

PDF icon Disclosing the LDPC Code Decoder Design Space [p. 200]
T. Brack, F. Kienle and N. Wehn


2E: Application-Specific Architectures

Moderators: E. M. Panainte, TU Delft, NL; S. Vassiliadis, TU Delft, NL
PDF icon Automating Processor Customisation: Optimised Memory Access and Resource Sharing [p. 206]
R. Dimond, O. Mencer and W. Luk

PDF icon Automatic Identification of Application-Specific Functional Units with Architecturally Visible Storage [p. 212]
P. Biswas, N. Dutt, P. Ienne and L. Pozzi

PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
J. Groszschaedl, P. Ienne, L. Pozzi, S. Tillich and A. K. Verma

PDF icon Simultaneously Improving Code Size, Performance, and Energy in Embedded Processors [p. 224]
A. Zmily and C. Kozyrakis


2F: System Level Performance Analysis

Moderators: E. Villar, Cantabria U, ES; T. Schattkowsky, Paderborn U, DE
PDF icon Quantitative Analysis of Transaction Level Models for the AMBA Bus [p. 230]
G. Schirner and R. Doemer

PDF icon Combining Simulation and Formal Methods for System-Level Performance Analysis [p. 236]
S. Kuenzli, F. Poletti, L. Benini and L. Thiele

PDF icon Formal Performance Analysis and Simulation of UML/SysML Models for ESL Design [p. 242]
A. Viehl, T. Schoenwald, O. Bringmann and W. Rosenstiel

PDF icon Performance Evaluation for System-on-Chip Architectures Using Trace-Based Transaction Level Simulation [p. 248]
T. Wild, A. Herkersdorf and R. Ohlendorf


3A: HOT TOPIC 'Network': the Next 'Big Idea' in Design? Network Paradigms in Systems, Sensors, and Silicon

Organiser: R. Rutenbar, Carnegie Mellon U, US
Moderator: J. Cohn, IBM Microelectronics, US
PDF icon Is "Network" the Next "Big Idea" in Design? [p. 254]
R. Marculescu, J. Rabaey and A. Sangiovanni-Vincentelli


3B: Advances in Verification and Synthesis for Analogue Design Automation

Moderators: G. Vandersteen, IMEC, BE; L. Hedrich, Frankfurt U, DE
PDF icon Verifying Analog Oscillator Circuits Using Forward/Backward Abstraction Refinement [p. 257]
G. Frehse, B. H. Krogh and R. A. Rutenbar

PDF icon Efficient AC Analysis of Oscillators Using Least-Squares Methods [p. 263]
T. Mei and J. Roychowdhury

PDF icon Double-Strength CAFFEINE: Fast Template-Free Symbolic Modeling of Analog Circuits via Implicit Canonical Form Functions and Explicit Introns [p. 269]
T. McConaghy and G. Gielen

PDF icon Top-Down Heterogeneous Synthesis of Analog and Mixed-Signal Systems [p. 275]
E. Martens and G. Gielen


Interactive Presentations

PDF icon Nonlinear Model Order Reduction Using Remainder Functions [p. 281]
J. A. Martinez, S. P. Levitan and D. M. Chiarulli

PDF icon Efficient Temperature-Dependent Symbolic Sensitivity Analysis and Symbolic Performance Evaluation in Analog Circuit Synthesis [p. 283]
H. Yang and R. Vemuri


3C: Advanced SoC Test Scheduling

Moderators: R. Dorsch, IBM Deutschland Entwicklung GmbH, DE; E. Larsson, Linkoping U, SE
PDF icon Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips [p. 285]
A. Sehgal, S. K. Goel, E. J. Marinissen and K. Chakrabarty

PDF icon Power Constrained and Defect-Probability Driven SoC Test Scheduling with Test Set Partitioning [p. 291]
Z. He, Z. Peng and P. Eles

PDF icon Power-Constrained Test Scheduling for Multi-Clock Domain SoCs [p. 297]
T. Yoneda, K. Masuda and H. Fujiwara

PDF icon Reuse-Based Test Access and Integrated Test Scheduling for Network-on-Chip Systems [p. 303]
C. Liu, Z. Link and D. K. Pradhan


Interactive Presentation

PDF icon A Design for Failure Analysis (DFFA) Technique to Ensure Incorruptible Signatures [p. 309]
S. Kundu


3D: Design Methodologies for Emerging Technologies

Moderators: G. Stromberg, Infineon Technologies, DE; C. Paulus, Siemens, DE
PDF icon Test Generation for Combinational Quantum Cellular Automata (QCA) Circuits [p. 311]
P. Gupta, N. K. Jha and L. Lingappan

PDF icon Analysis and Synthesis of Quantum Circuits by Using Quantum Decision Diagrams [p. 317]
A. Abdollahi and M. Pedram

PDF icon Droplet Routing in the Synthesis of Digital Microfluidic Biochips [p. 323]
F. Su, W. Hwang and K. Chakrabarty

PDF icon Priority Scheduling in Digital Microfluidics-Based Biochips [p. 329]
A. J. Ricketts, K. Irick, N. Vijaykrishnan and M. J. Irwin


Interactive Presentations

PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
D. Bhaduri, S. Shukla, D. Coker, V. Taylor, P. Graham and M. Gokhale

PDF icon (774)Optical Routing for 3D System-on-Package [p. 337]
J. R. Minz, S. Thyagaraja and S.-K. Lim


3E: Processor and Memory Design

Moderators: P. Ienne, EPFL Lausanne, CH; T. Austin, The U of Michigan, US
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
P. Raghavan, A. Lambrechts, M. Jayapala, F. Catthoor and D. Verkest

PDF icon Compositional, Efficient Caches for a Chip Multi-Processor [p. 345]
A. M. Molnos, M. J. M. Heijligers, S. D. Cotofana and J. T. J. Van Eijndhoven

PDF icon Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors [p. 351]
S. Eyerman, L. Eeckhout and K. De Bosschere

PDF icon Application-Specific Reconfigurable XOR-Indexing to Eliminate Cache Conflict Misses [p. 357]
H. Vandierendonck, P. Manet and J.-D. Legat


3F: Spatial and Temporal Mapping for Reconfigurable Computing

Moderators: P. Lysaght, Xilinx, US; W. Luk, Imperial College London, UK
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
M. Ahn, J. W. Yoon, Y. Paek, Y. Kim, M. Kiemb and K. Choi

PDF icon Compiler-Driven FPGA-Area Allocation for Reconfigurable Computing [p. 369]
E. M. Panainte, K. Bertels and S. Vassiliadis

PDF icon Temporal Partitioning for Image Processing Based on Time-Space Complexity in Reconfigurable Architectures [p. 375]
P. S. Brandão do Nascimento and M. E. de Lima

PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Y. Yi, I. Nousias , M. Milward, S. Khawam, T. Arslan and I. Lindsay


4A: EMBEDDED TUTORIAL - DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design

Organisers: M. Buehler, IBM Deutschland Entwicklung GmbH, DE; A. Ripp, MunEDA GmbH, DE
Moderator: A. Ripp, MunEDA GmbH, DE
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
M. Buehler, J. Koehl, J. Bickford, J. Hibbeler, U. Schlichtmann, R. Sommer, M. Pronath and A. Ripp


4B: Analogue and Mixed-Signal Design

Moderators: M. Glesner, TU Darmstadt, DE; D. Leenaerts, Philips Research Labs, NL
PDF icon Systematic Methodology for Designing Reconfigurable ΔΣ Modulator Topologies for Multimode Communication Systems [p. 393]
Y. Wei, H. Tang and A. Doboli

PDF icon Double-Sampling Single-Loop Sigma-Delta Modulator Topologies for Broadband Applications [p. 399]
M. Yavari, O. Shoaei and A. Rodriguez-Vazquez

PDF icon A 10 GHz 15 dB Four-Stage Distributed Amplifier in 0.18 μm CMOS Process [p. 405]
K. K. Moez and M. I. Elmasry


Interactive Presentation

PDF icon Bootstrapped Full-Swing CMOS Driver for Low Supply Voltage Operation
J. Garcia, J. A. Montiel-Nelson and S. Nooshabadi [p. 410]


4C: Processor Self-Test and Fault Diagnosis

Moderators: H. Obermeir, Infineon Technologies, DE; N. Nicolici, McMaster U, CA
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
P. Bernardi, E. Sánchez, M. Schillaci, G. Squillero and M. Sonza Reorda

PDF icon Timing-Reasoning-Based Delay Fault Diagnosis [p. 418]
K. Yang and K.-.T Cheng

PDF icon Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation [p. 424]
Y.-C. Lin and K.-T. Cheng

PDF icon Software-Based Self-Test of Processors under Power Constraints [p. 430]
J. Zhou and H.-J. Wunderlich


Interactive Presentation

PDF icon Diagnosis of Defects on Scan Enable and Clock Trees [p. 436]
Y. Huang and K. Gallie


4E: Scheduling for Real-Time and Energy

Moderators: S. Baruah, North Carolina U Chapel Hill, US; G. Fohler, Malardalen U, SE
PDF icon Lock-Free Synchronization for Dynamic Embedded Real-Time Systems [p. 438]
H. Cho, B. Ravindran and E. D. Jensen

PDF icon Performance Analysis of Greedy Shapers in Real-Time Systems [p. 444]
E. Wandeler, A. Maxiaguine and L. Thiele

PDF icon Improved Offset-Analysis Using Multiple Timing-References [p. 450]
R. Henia and R. Ernst

PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Z. Lu, Y. Zhang, M. Stan, J. Lach and K. Skadron


4F: System Level Modeling and Simulation

Moderators: G. Martin, Tensilica, US; P. Pop, Linkoping University, SE
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
G. Yang, X. Chen, F. Balarin, H. Hsieh and A. Sangiovanni-Vincentelli

PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
T. Kempf, K. Karuri, S. Wallentowitz, G. Ascheid, R. Leupers and H. Meyr

PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
V. Reyes, W. Kruijtzer, T. Bautista, G. Alkadi and A. Nuñez


Interactive Presentation

PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
M. Streubuehr, J. Falk, C. Haubelt, J. Teich, R. Dorsch and T. Schlipf


4G: HOT TOPIC: System Level Design of SoC (4G Wireless Special Day)

Organisers: H. Meyr, RWTH Aachen U, DE and CoWare Inc; G. Fettweis, TU Dresden, DE
Moderator: O. Schliebusch, CoWare Inc, DE
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
P. G. Paulin, C. Pilkington, M. Langevin, E. Bensoudane, O. Benny, D. Lyonnard, B. Lavigeuer and D. Lo

PDF icon Virtual Prototyping of Embedded Platforms for Wireless and Multimedia [p. 488]
T. Kogel and M. Braun

PDF icon Application Specific NoC Design [p. 491]
L. Benini


5A: Power-Efficient Hardware/Software Architectures

Moderators: J. Henkel, Karlsruhe U, DE; D. Liu, Linkoping U, SE
PDF icon Automatic Insertion of Low Power Annotations in RTL for Pipelined Microprocessors [p. 496]
V. Viswanath, J. A. Abraham and W. A. Hunt Jr.

PDF icon Power Analysis of Mobile 3D Graphics [p. 502]
B. Mochocki, K. Lahiri and S. Cadambi

PDF icon Automatic Run-Time Selection of Power Policies for Operating Systems [p. 508]
N. Pettis, J. Ridenour and Y.-H. Lu

PDF icon Energy Reduction by Workload Adaptation in a Multi-Process Environment [p. 514]
C. Xian and Y.-H. Lu


Interactive Presentation

PDF icon Dynamic Bit-Width Adaptation in DCT : Image Quality Versus Computation Energy Trade-Off [p. 520]
J. Park, J. H. Choi and K. Roy


5B: Timing and Noise Analysis

Moderators: P. Feldmann, IBM T J Watson Research Center, US; E. Beyne, IMEC, BE
PDF icon Bus Stuttering: An Encoding Technique to Reduce Inductive Noise in Off-Chip Data Transmission [p. 522]
B. J. LaMeres and S. P. Khatri

PDF icon Statistical Timing Analysis with Path Reconvergence and Spatial Correlations [p. 528]
L. Zhang, Y. Hu and C.C-P. Chen

PDF icon Non-Gaussian Statistical Interconnect Timing Analysis [p. 533]
S. Abbaspour, H. Fatemi and M. Pedram

PDF icon Cell Delay Analysis Based on Rate-of-Current Change [p. 539]
S. Nazarian and M. Pedram


Interactive Presentation

PDF icon A Practical Method to Estimate Interconnect Responses to Variabilities [p. 545]
F. Liu


5C: Panel Session - Test and Reliability Challenges in Automotive Microelectronics

Organisers: H.-J. Wunderlich, Stuttgart U, DE; P. van Staa, Robert Bosch GmbH, DE
Moderator: C. Sebeke, Robert Bosch GmbH, DE
Panellists: C. Jung, BMW Group, DE; K. Harbich, Robert Bosch GmbH, DE; S. Fuchs, Credence Systems GmbH, DE; J. Schwarz, DaimlerChrysler AG, DE; P. Goehner, Stuttgart U, DE
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]
C. Jung, K. Harbich, S. Fuchs, J. Schwarz and P. Goehner


5E: EMBEDDED TUTORIAL AND PANEL - Communication Methods and Networking in Automotive Systems

Organisers/Moderators: J. Becker, Karlsruhe U, DE; J. Bortolazzi, DaimlerChrysler AG, DE
PDF icon Exploring Trade-offs between Centralized versus Decentralized Automotive Architectures Using a Virtual Integration Environment [p. 548]
S. Kanajan, H. Zeng, C. Pinello and A. Sangiovanni-Vincentelli

PDF icon Management of Complex Automotive Communication Networks [p. 554]
T. Weber

PDF icon AutoVision - Flexible Processor Architecture for Video-assisted Driving [p. 556]
A. Herkersdorf

PDF icon Domain Specific Model Driven Design for Automotive Electronic Control Units [p. 557]
K. D. Mueller-Glaser

PDF icon Electric and Electronic Vehicle Architecture Assessment [p. 558]
P. Dégardins

PDF icon Automotive Semi-Conductor Trend and Challenges [p. 559]
P. Leteinturier


5F: System Level Modelling

Moderators: W. Mueller, C-LAB/Paderborn U, DE; A. Gerstlauer, UC Irvine, US
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
J. Um, W.-C. Kwon, S. Hong, Y.-T. Kim, K.-M. Choi, J.-T. Kong, S.-K. Eo and T. Kim

PDF icon Heterogeneous Behavioral Hierarchy for System Level Designs [p. 565]
H. D. Patel, S. K. Shukla and R.A. Bergamaschi

PDF icon Design with Race-Free Hardware Semantics [p. 571]
P. Schaumont, S. Shukla and I. Verbauwhede


Interactive Presentations

PDF icon Comfortable Modeling of Complex Reactive Systems [p. 577]
S. Prochnow and R. von Hanxleden

PDF icon Faster Exploration of High Level Design Alternatives Using UML for Better Partitions [p. 579]
W. Ahmed and D. Myers


5G: HOT TOPIC: Architectures and NoC (4G Wireless Special Day)

Organisers: H. Meyr, RWTH Aachen U, DE and CoWare Inc; G. Fettweis, TU Dresden, DE
Moderator: G. Ascheid, RWTH Aachen U, DE
PDF icon A Design Flow for Configurable Embedded Processors Based on Optimized Instruction Set Extension Synthesis [p. 581]
R. Leupers, K. Karuri, S. Kraemer and M. Pandey

PDF icon Energy Efficiency vs. Programmability Trade-off: Architectures and Design Principles [p. 587]
J.P. Robelly, H. Seidel, K.C. Chen, and G. Fettweis

PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]
A. Burg, M. Borgmann, M. Wenk, C. Studer and H. Boelcskei


5K: Keynote

Organiser/Moderator: H. Meyr, CoWare, DE
PDF icon Next Generation Architectures Can Dramatically Reduce the 4G Deployment Cycle [p. 599]
D. Shaver


6A: Low Power Embedded Architectures and Platforms

Moderators: J. Haid, Infineon Technologies, DE; R. Zafalon, STMicroelectronics, IT
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
A. Chattopadhyay, B. Geukes, D. Kammler, E. M. Witte, O. Schliebusch, H. Ishebabi, R. Leupers, G. Ascheid and H. Meyr

PDF icon Power/Performance Hardware Optimization for Synchronization Intensive Applications in MPSoCs [p. 606]
M. Monchiero, G. Palermo, C. Silvano and O. Villa

PDF icon An Analytical State Dependent Leakage Power Model for FPGAs [p. 612]
A. Kumar and M. Anis

PDF icon Smart Bit-Width Allocation for Low Power Optimization in a SystemC Based ASIC Design Environment [p. 618]
A. Mallik, D. Sinha, P. Banerjee and H. Zhou


Interactive Presentation

PDF icon Value-Based Bit Ordering for Energy Optimization of On-Chip Global Signal Buses [p. 624]
K. Sundaresan and N. R. Mahapatra


6B: Transistor and Gate Level Simulation

Moderators: F. Gaffiot, Ecole Centrale de Lyon, FR; M. Zwolinski, Southampton U, UK
PDF icon Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR [p. 626]
J. Sridharan and T. Chen

PDF icon A Signal Theory Based Approach to the Statistical Analysis of Combinatorial Nanoelectronic Circuits [p. 632]
O. Soffke, P. Zipf, T. Murgan and M. Glesner

PDF icon Using Conjugate Symmetries to Enhance Gate-Level Simulations [p. 638]
P. M. Maurer


Interactive Presentation

PDF icon HDL Models of Ferromagnetic Core Hysteresis Using Timeless Discretisation of the Magnetic Slope [p. 644]
H. Al-Junaid and T. Kazmierski


6C: SoC Targeted Mixed-Signal Test Solutions

Moderators: E. J. Marinissen, Philips Research, NL; A. Rueda, Seville U/IMSE-CNM, ES
PDF icon An RF Improved Loopback for Test Time Reduction [p. 646]
M. Negreiros, L. Carro and A. A. Susin

PDF icon Test Scheduling with Thermal Optimization for Network-on-Chip Systems Using Variable-Rate On-Chip Clocking [p. 652]
C. Liu and V. Iyengar

PDF icon Online RF Checkers for Diagnosing Multi-Gigahertz Automatic Test Boards on Low Cost ATE Platforms [p. 658]
G. Srinivasan, F. Taenzler and A. Chatterjee

PDF icon Pseudorandom Functional BIST for Linear and Nonlinear MEMS [p. 664]
A. Dhayni, S. Mir, L. Rufer and A. Bounceur


Interactive Presentation

PDF icon On-Chip 8GHz Non-Periodic High-Swing Noise Detector [p. 670]
M. Abbas, M. Ikeda and K. Asada


6E: System Optimisation with Embedded Software

Moderators: M. Broy, TU Munich, DE; J. Sztipanovits, ISIS - Vanderbilt U, US
PDF icon Battery-Aware Code Partitioning for a Text to Speech System [p. 672]
A. Lahiri, A. Basu, M. Choudhury and S. Mitra

PDF icon Performance Optimization for Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems [p. 678]
Z. Li, H. Chen and S. Yu

PDF icon Software Annotations for Power Optimization on Mobile Devices [p. 684]
R. Cornea, A. Nicolau and N. Dutt

PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]
L. Xue, O. Ozturk, F. Li, M. Kandemir and I. Kolcu


Interactive Presentations

PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
M. Kandemir, G. Chen, F. Li, M. J. Irwin and I. Kolcu

PDF icon Adaptive Data Placement in an Embedded Multiprocessor Thread Library [p. 698]
P. Stanley-Marbell, K. Lahiri and A. Raghunathan


6F: Communication-Centric System-Level Synthesis for MPSoC

Moderators: J. Madsen, TU Denmark, DK; J. Teich, Erlangen-Nuremberg U, DE
PDF icon COSMECA: Application Specific Co-Synthesis of Memory and Communication Architectures for MPSoC [p. 700]
S. Pasricha and N. Dutt

PDF icon Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-Offs for Distributed Embedded Systems [p. 706]
V. Izosimov, P. Pop, P. Eles and Z. Peng

PDF icon Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip [p. 712]
U. Y. Ogras, R. Marculescu, H. G. Lee and N. Chang

PDF icon Buffer Space Optimisation with Communication Synthesis and Traffic Shaping for NoCs [p. 718]
S. Manolache, P. Eles and Z. Peng


Interactive Presentation

PDF icon Cooptimization of Interface Hardware and Software for I/O Controllers [p. 724]
K. J. Lin, S. H. Huang and S. C. Fang


6G: HOT TOPIC: Cross Disciplinary Aspects (4G Wireless Special Day)

Organisers: H. Meyr, RWTH Aachen U, DE and CoWare Inc, US; G. Fettweis
Moderator: L. Gaszi, Infineon, DE
PDF icon Cross Disciplinary Aspects (4G Wireless Special Day) [p. 726]
Speakers: T. G. Noll and U. Lambrette

PDF icon SoC - Fuelling the Hopes of the Mobile Industry [p. 727]
U. Lambrette


7A: Techniques for Architecture Exploration and Characterisation

Moderators: F. Balarin, Cadence Berkeley Laboratories, US; H. Hsieh, UC Riverside, US
PDF icon Integrated Data Relocation and Bus Reconfiguration for Adaptive System-on-Chip Platforms [p. 728]
K. Sekar, K. Lahiri, A. Raghunathan and S. Dey

PDF icon FPGA Architecture Characterization for System Level Performance Analysis [p. 734]
D. Densmore, A. Donlin and A. Sangiovanni-Vincentelli

PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
A. Bartzas, S. Mamagkakis, G. Pouiklis, D. Atienza, F. Catthoor, D. Soudris and A. Thanailakis,

PDF icon Customization of Application Specific Heterogeneous Multi-Pipeline Processors [p. 746]
S. Radhakrishnan, H. Guo and S. Parameswaran


Interactive Presentations

PDF icon Impact of Bit-Width Specification on the Memory Hierarchy for a Real-Time Video Processing System [p. 752]
B. Thornberg and M. O'Nils

PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
J. Guillot, E. Boutillon, Q. Ren, M. Ciesielski, D. Gomez-Prado and S. Askar


7B: Clocks and Routing

Moderators: P. Groeneveld, TU Eindhoven, NL; A. Kuehlmann, Cadence Berkeley Laboratories, US
PDF icon Integrated Placement and Skew Optimization for Rotary Clocking [p. 756]
G. Venkataraman, J. Hu, F. Liu and C.-N. Sze

PDF icon Associative Skew Clock Routing for Difficult Instances [p. 762]
M.-S. Kim and J. Hu

PDF icon Efficient Timing-Driven Incremental Routing for VLSI Circuits Using DFs and Localized Slack-Satisfaction Computations [p. 768]
S. Dutt, H. Arslan


7C: Reliability Issues for Nanotechnology Circuits

Moderators: D. Pradhan, Bristol U, UK; K. Chakrabarty, Duke U, US
PDF icon Defect Tolerance of QCA Tiles [p. 774]
J. Huang, M. Momenzadeh and F. Lombardi

PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam and K. Roy

PDF icon Novel Designs for Thermally Robust Coplanar Crossing in QCA [p. 786]
S. Bhanja, M. Ottavi, F. Lombardi and S. Pontarelli


Interactive Presentation

PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
K. Nepal, R. I. Bahar, J. Mundy, W. R. Patterson and A. Zaslavsky


7E: Architectures for Predictable Real-Time Computing and Communication

Moderators: P. Puschner, TU Vienna, AT; S. Goddard, Nebraska U Lincoln, US
PDF icon A Time-Triggered Ethernet (TTE) Switch [p. 794]
K. Steinhammer, P. Grillinger, A. Ademaj and H. Kopetz

PDF icon A Time Predictable Java Processor [p. 800]
M. Schoeberl

PDF icon Optimizing the Generation of Object-Oriented Real-Time Embedded Applications Based on the Real-Time Specification for Java [p. 806]
M. A. Wehrmeister, C. E. Pereira and L. B. Becker


7F: Modern Decision Procedures

Moderators: G. Cabodi, Politecnico di Torino, IT; R. Drechsler, Bremen U, DE
PDF icon Quantifier Structure in Search Based Procedures for QBFs [p. 812]
E. Giunchiglia, M. Narizzano and A. Tacchella

PDF icon Strong Conflict Analysis for Propositional Satisfiability [p. 818]
H. S. Jin and F. Somenzi

PDF icon Equivalence Verification of Arithmetic Datapaths with Multiple Word-Length Operands [p. 824]
N. Shekhar, P Kalla and F. Enescu


7G: TUTORIAL SESSION - Applications, Architectures, Design Methodology and Tools for MPSoC

Speakers: G. Fettweis, TU Dresden, DE; H. Meyr, RWTH Aachen U, DE and CoWare Inc
PDF icon 4G Applications, Architectures, Design Methodology and Tools for MPSoC [p. 830]

7H: Thermal Aspects of Low Power Design

Moderators: C. Silvano, Politecnico di Milano, IT; M. Poncino, Politecnico di Torino, IT
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
A. Chakraborty, P. Sithambaram, K. Duraisami, A. Macii, E. Macii and M. Poncino,

PDF icon Exploring "Temperature-Aware" Design in Low-Power MPSoCs [p. 838]
G. Paci, P. Marchal, F. Polett and L. Benini

PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Y. Yang, Z. Gu, C. Zhu, L. Shang and R.P. Dick

PDF icon On-Chip Bus Thermal Analysis and Optimization [p. 850]
F. Wang, Y. Xie, N. Vijaykrishnan and M. J. Irwin


8A: Leakage and Dynamic Power Aware Logic Design

Moderators: E. Schmidt, ChipVision Design Systems, DE; A. Bogliolo, Urbino U, IT
PDF icon Ultralow Power Computing with Sub-Threshold Leakage: A Comparative Study of Bulk and SOI Technologies [p. 856]
A. Raychowdhury, B.C. Paul, S. Bhunia and K. Roy

PDF icon Low Power Synthesis of Dynamic Logic Circuits Using Fine-Grained Clock Gating [p. 862]
N. Banerjee, K. Roy, H. Mahmoodi and S. Bhunia

PDF icon Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion [p. 868]
P. Babighian, L Benini, A. Macii and E. Macii


Interactive Presentations

PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
S. Mamagkakis, D. Atienza, C. Poucet, F. Catthoor, D. Soudris and J. M. Mendias

PDF icon A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs [p. 876]
A. Alimonda, A. Acquaviva, S. Carta and A. Pisano


8B: Advanced Topics in Physical Design

Moderators: J. Koehl, IBM, DE; F. Johannes, TU Munich, DE
PDF icon 3D Floorplanning with Thermal Vias [p. 878]
E. Wong and S. K. Lim

PDF icon Timing-Driven Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization [p. 884]
T. Iizuka, M. Ikeda and K. Asada

PDF icon Lens Aberration Aware Timing-Driven Placement [p. 890]
A. B. Kahng, C.-H. Park, P. Sharma and Q. Wang


8C: Advances in Defect Modelling and Detection

Moderators: M. Renovell, LIRMM, FR; C. Hawkins, New Mexico U, US
PDF icon On Test Conditions for the Detection of Open Defects [p. 896]
B. Kruseman and M. Heiligers

PDF icon A Compact Model to Identify Delay Faults Due to Crosstalk [p. 902]
J. L. Rossello and J. Segura

PDF icon Generation of Broadside Transition Fault Test Sets That Detect Four-Way Bridging Faults [p. 907]
I. Pomeranz and S. M. Reddy

PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
J. E. Nelson, T. Zanon, R. Desineni, J. G. Brown, N. Patil, W. Maly and R. D. Blanton


8E: Code and Data Layout Optimisations for Embedded Software

Moderators: J. Teich, Erlangen-Nuremberg U, DE; H. van Someren, ACE Associated Compiler Experts, NL
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
H. Scharwaechter, M. Hohenauer, R. Leupers, G. Ascheid and H. Meyr

PDF icon An Integrated Scratch-Pad Allocator for Affine and Non-Affine Code [p. 925]
S. Udayakumaran and R. Barua

PDF icon Dynamic Scratch-Pad Memory Management for Irregular Array Access Patterns [p. 931]
G. Chen, O. Ozturk, M. Kandemir and M. Karakoy

PDF icon Restructuring Field Layouts for Embedded Memory System [p. 937]
K. Shin, J. Kim, S. Kim and H. Han


Interactive Presentations

PDF icon Power-Aware Compilation for Embedded Processors with Dynamic Voltage Scaling and Adaptive Body Biasing Capabilities [p. 943]
P.-K. Huang and S. Ghiasi

PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
H.-W. Park, K. Oh, S. Park, M.-M. Sim, and S. Ha


8F: Advanced Reconfigurable Architectures and Applications

Moderators: S. Vernalde, IMEC, BE; K. Bertels, TU Delft, NL
PDF icon optiMap: A Tool for Automated Generation of NoC Architectures Using Multi-Port Routers for FPGAs [p. 947]
B. Sethuraman and R. Vemuri

PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Y. Liu, C.-S. Bouganis, P. Y. K. Cheung, P. H. W. Leong and S. J. Motley

PDF icon Memory Centric Thread Synchronization on Platform FPGAs [p. 959]
C. Kulkarni and G. Brebner

PDF icon A Parallel Configuration Model for Reducing the Run-Time Reconfiguration Overhead [p. 965]
Y. Qu, J.-P. Soininen and J. Nurmi


8G: Introduction to and Applications for Wireless Sensor Networks (WSN) - (Wireless Sensor Networks Special Day)

Organiser/Moderator: C. Enz, CSEM, CH
PDF icon Wireless Sensor Networks and Beyond [p. 970]
P. J. M. Havinga

PDF icon The Ultra Low-Power WiseNET System [p. 971]
A. El-Hoyidi, C. Arm, R. Caseiro, S. Cserveny, J.-D. Decotignie, C. Enz, F. Giroud, S. Gyger, E. Leroux, T. Melly, V. Peiris, F. Pengg, P.-D. Pfister, N. Raemy, A. Ribordy, D. Ruffieux and P. Volet

PDF icon Fast-prototyping Using the BTnode Platform [p. 977]
J. Beutel


9A: Leakage-Aware Circuit Design

Moderators: M. Miranda, IMEC, BE; A. Macii, Politecnico di Torino, IT
PDF icon Circuit-Aware Device Design Methodology for Nanometer Technologies: A Case Study for Low Power SRAM Design [p. 983]
Q. Chen, S. Mukhopadhyay, A. Bansal and K. Roy

PDF icon Architectural and Technology Influence on the Optimal Total Power Consumption [p. 989]
C. Schuster, J.-L. Nagel, C. Piguet and P.-A. Farine

PDF icon Reducing the Sub-Threshold and Gate-Tunneling Leakage of SRAM Cells Using Dual-Vt and Dual-Tox Assignment [p. 995]
B. Ameliard, F. Fallah and M. Pedram

PDF icon Exploiting Data-Dependent Slack Using Dynamic Multi-VDD to Minimize Energy Consumption in Datapath Circuits [p. 1001]
K. R. Gandhi and N. R. Mahapatra


9B: Coverage Based Validation

Moderators: J. Marques-Silva, Southampton U, UK; E. M. Aboulhamid, Montreal U, CA
PDF icon On the Evaluation of Transactor-Based Verification for Reusing TLM Assertions and Testbenches at RTL [p. 1007]
N. Bombieri, F. Fummi and G. Pravadelli

PDF icon Functional Verification Methodology Based on Formal Interface Specification and Transactor Generation [p. 1013]
F. Balarin and R. Passerone

PDF icon A Coverage Metric for the Validation of Interacting Processes [p. 1019]
I. G. Harris

PDF icon New Methods and Coverage Metrics for Functional Verification [p. 1025]
V. Jerinic, J. Langer, U. Heinkel and D. Mueller


Interactive Presentation

PDF icon Classification Trees for Random Tests and Functional Coverage [p. 1031]
A. Krupp and W. Mueller


9C: Test Data Compression

Moderators: J. Schloeffel, Philips Semiconductors, DE; H. T. Vierhaus, Cottbus U, DE
PDF icon Efficient Test-Data Compression for IP Cores Using Multilevel Huffman Coding [p. 1033]
X. Kavousianos, E. Kalligeros and D. Nikolos

PDF icon Functional Constraints vs. Test Compression in Scan-Based Delay Testing. [p. 1039]
I. Polian and H. Fujiwara

PDF icon Concurrent Core Test for SoC Using Shared Test Set and Scan Chain Disable [p. 1045]
G. Zeng and H. Ito


Interactive Presentations

PDF icon Efficient Unknown Blocking Using LFSR Reseeding [p. 1051]
S. Wang, K. J. Balakrishnan and S. T. Chakradhar

PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
M. C.-T. Chao, S. Wang, S. T. Chakradhar, W. Wei and K.-T. Cheng


9E: Resource Constrained Scheduling

Moderators: S. Baruah, North Carolina U, US; H. van Someren, ACE Associated Compiler Experts, NL
PDF icon Online Energy-Aware I/O Device Scheduling for Hard Real-Time Systems [p. 1055]
H. Cheng and S. Goddard

PDF icon Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint [p. 1061]
H.-.R Hsu, J.-J. Chen and T.-W. Kuo

PDF icon Scheduling under Resource Constraints Using Dis-Equations [p. 1067]
H. Cherroun, A. Darte and P. Feautrier

PDF icon Scalable Performance-Energy Trade-Off Exploration of Embedded Real-Time Systems on Multiprocessor Platforms [p. 1073]
Z. Ma and F. Catthoor


9F: Sequential Optimisation, Clocking and Boolean Matching

Moderators: T. Shiple, Synopsys, FR; R. Drechsler, Bremen U, DE
PDF icon Building a Better Boolean Matcher and Symmetry Detector [p. 1079]
D. Chai and A. Kuehlmann

PDF icon Optimizing Sequential Cycles through Shannon Decomposition and Retiming [p. 1085]
C. Soviani, O. Tardieu and S. A. Edwards

PDF icon Efficient Incremental Clock Latency Scheduling for Large Circuits [p. 1091]
C. Albrecht

PDF icon Analyzing Timing Uncertainty in Mesh-Based Clock Architectures [p. 1097]
S. M. Reddy, G. R. Wilke and R. Murgai


9G: Design, Verification, Deployment and Test of WSN Systems

Organiser/Moderator: J. Rabaey, UC Berkeley, US
Deploying Networks Based on TinyOS
D. Culler

PDF icon Platform-Based Design of Wireless Sensor Networks for Industrial Applications [p. 1103]
A. Bonivento, L. P. Carloni and A. Sangiovanni-Vincentelli

PDF icon An Environment for Controlled Experiments with In-House Sensor Networks [p. 1108]
V. Handziski, A. Koepke, A. Willig and A. Wolisz


9K: Keynote

Organiser/Moderator: C. Enz, CSEM, CH
PDF icon Hogthrob: Towards a Sensor Network Infrastructure for Sow Monitoring [p. 1109]
P. Bonnet, M. Leopold and K. Madsen


10A: Power Reduction at Circuit Level

Moderators: C. Piguet, CSEM, CH; P. Maurine, LIRMM, FR
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
L. N. Chakrapani, B. E. S. Akgul, S. Cheemalavagu, P. Korkmaz, K. V. Palem and B. Seshasayee

PDF icon Minimizing Ohmic Loss and Supply Voltage Variation Using a Novel Distributed Power Supply Network [p. 1116]
M. Budnik and K. Roy

PDF icon An Ultra Low-Power TLB Design [p. 1122]
Y.-J. Chang

PDF icon Determining the Optimal Timeout Values for a Power-Managed System Based on the Theory of Markovian Processes: Offline and Online Algorithms [p. 1128]
P. Rong and M. Pedram


10B: Semi-Formal Validation Methods

Moderators: M. Lajolo, NEC Labs, US; A. Fedeli, STMicroelectronics, IT
PDF icon A Formal Model and Efficient Traversal Algorithm for Generating Testbenches for Verification of IEEE Standard Floating Point Division [p. 1134]
D. W. Matula and L. D. McFearin

PDF icon On the Relation between Simulation-Based and SAT-Based Diagnosis [p. 1139]
G. Fey, S. Safarpour, A. Veneris and R. Drechsler

PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
F. Angiolini, J. Ceng, R. Leupers, F. Ferrari, C. Ferri and, L. Benini

PDF icon Parallel Co-Simulation Using Virtual Synchronization with Redundant Host Execution [p. 1151]
D. Kim, S. Ha and R. Gupta


Interactive Presentation

PDF icon An Efficient and Portable Scheduler for RTOS Simulation and its Certified Integration to SystemC [p. 1157]
H. Nakamura, N. Sato and N. Tabuchi


10C: Testing Memories, FPGAs and Networks-on-a-Chip

Moderators: J. Leenstra, IBM Boeblingen, DE; C. Papachristou, Case Western Reserve U, US
PDF icon Minimizing Test Power in SRAM through Reduction of Pre-Charge Activity [p. 1159]
L. Dilillo, P. Rosinger, B. M. Al-Hashimi and P. Girard

PDF icon Efficient On-Line Interconnect Testing in FPGAs with Provable Detectability for Multiple Faults [p. 1165]
V. Suthar and S. Dutt

PDF icon A Concurrent Testing Method for NoC Switches [p. 1171]
M. Hosseinabady, A. Banaiyan, M. N. Bojnordi and Z. Navabi


Interactive Presentation

PDF icon A Secure Scan Design Methodology [p. 1177]
D. Hély, F. Bancel, M.-L. Flottes and B. Rouzeyre


10E: Architectural Level Synthesis

Moderators: F. Ferrandi, Politecnico di Milano, IT; E. De Kock, Philips Research, NL
PDF icon RAS-NANO: A Reliability-Aware Synthesis Framework for Reconfigurable Nanofabrics [p. 1179]
C. He and M. F. Jacome

PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
R. Kastner, W. Gong, X. Hao, F. Brewer, A. Kaplan, P. Brisk and M. Sarrafzadeh

PDF icon Physical-Aware Simulated Annealing Optimization of Gate Leakage in Nanoscale Datapath Circuits [p. 1191]
S. P. Mohanty, R. Velagapudi and E. Kougianos

PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
S. Park, A. Shrivastava, N. Dutt, E. Earlie, A. Nicolau and Y. Paek


Interactive Presentation

PDF icon High Level Synthesis of Higher Order Continuous Time State Variable Filters with Minimum Sensitivity and Hardware Count [p. 1203]
S. Pandit, S. Kar, C. Mandal and A. Patra


10F: Advances in State Space Exploration

Moderators: E. Giunchiglia, Genova U, IT; A. Tacchella, DIST - Genova U, IT
PDF icon Disjunctive Image Computation for Embedded Software Verification [p. 1205]
C. Wang, Z. Yang, F. Ivancic and A. Gupta

PDF icon Distance-Guided Hybrid Verification with GUIDO [p. 1211]
S. Shyam and V. Bertacco

PDF icon What Lies between Design Intent Coverage and Model Checking? [p. 1217]
S. Das, P. Basu, P. Dasgupta and P. P. Chakrabarti


Interactive Presentations

PDF icon On the Numerical Verification of Probabilistic Rewriting Systems [p. 1223]
J. Ben Hassen and S. Tahar

PDF icon Avoiding False Negatives in Formal Verification for Protocol-Driven Blocks [p. 1225]
G. Fey, D. Grosse and R. Drechsler


10G: Panel Session - Compelling Challenges in Wireless Sensor Network Research

Organisers: E. Macii, Politecnico di Torino, IT; M. Casale-Rossi, Synopsys Inc, IT
Panel Moderator: G. De Micheli, EPFL Lausanne, CH
Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
E. Macii, M. Pedram, D. Friebel, R. Aitken, A. Domic and R. Zafalon


11A: TUTORIAL AND PANEL SESSION - Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously?

Organisers: E. Macii, Politecnico di Torino, IT; M. Casale-Rossi, Synopsys Inc, IT
Panel Moderator: G. De Micheli, EPFL Lausanne, CH
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
E. Macii, M. Pedram, D. Friebel, R. Aitken, A. Domic and R. Zafalon


11B: System Level Verification

Moderators: V. Bertacco, The U of Michigan, US; R. Bloem, Inst for Software Tech Graz, AT
PDF icon Formal Verification of SystemC Designs Using a Petri-Net Based Representation [p. 1228]
D. Karlsson, P. Eles and Z. Peng

PDF icon Monolithic Verification of Deep Pipelines with Collapsed Flushing [p. 1234]
R. Kane, P. Manolios and S. K. Srinivasan

PDF icon Functional Test Generation Using Property Decompositions for Validation of Pipelined Processors [p. 1240]
H.-M. Koo and P. Mishra

PDF icon Proven Correct Monitors from PSL Specifications [p. 1246]
K. Morin-Allory and D. Borrione


11C: Memory Testing and Test Set Improvement

Moderators: B. Straube, FhG IIS/EAS Dresden, DE; I. Pomeranz, Purdue U, US
PDF icon Space of DRAM Fault Models and Corresponding Testing [p. 1252]
Z. Al-Ars, S. Hamdioui and A. J. van de Goor

PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
A. Benso, A. Bosio, S. Di Carlo, G. Di Natale and P. Prinetto

PDF icon Test Compaction for Transition Faults under Transparent-Scan [p. 1264]
I. Pomeranz and S. M. Reddy

PDF icon Test Set Enrichment Using a Probabilistic Fault Model and the Theory of Output Deviations [p. 1270]
Z. Wang, K. Chakrabarty and M. Goessel


11E: Reliable Microarchitectures

Moderators: T. Austin, The U of Michigan, US; S. Vassiliadis, TU Delft, NL
PDF icon Vulnerability Analysis of L2 Cache Elements to Single Event Upsets [p. 1276]
H. Asadi, V. Sridharan, M. B. Tahoori and D. Kaeli

PDF icon Area-Efficient Error Protection for Caches [p. 1282]
S. Kim

PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
M. Healy, M. Vittes, M. Ekpanyapong, C. Ballapuram, S. K. Lim, H.-H. S. Lee and G. H. Loh


11F: Progress in Logic and Arithmetic Circuit Optimisation

Moderators: R, Hermida, Madrid Complutense U, ES; T. Shiple, Synopsys, FR
PDF icon Optimizing High Speed Arithmetic Circuits Using Three-Term Extraction [p. 1294]
A. Hosangadi, F. Fallah and R. Kastner

PDF icon Efficient Minimization of Fully Testable 2-SPP Networks [p. 1300]
A. Bernasconi, V. Ciriani, R. Drechsler and T. Villa

PDF icon Pre-Synthesis Optimization of Multiplications to Improve Circuit Performance [p. 1306]
R. Ruiz-Sautua, M. C. Molina, J. M. Mendias and R. Hermida

PDF icon Crosstalk-Aware Domino Logic Synthesis [p. 1312]
Y.-Y. Liu and T. T. Hwang


11G: MPSoC Modelling and Design

Moderators: R. Ernst, TU Braunschweig, DE; P. Ienne, EPFL IC LAP, CH
PDF icon TRAIN: A Virtual Transaction Layer Architecture for TLM-Based HW/SW Codesign of Synthesizable MPSoC [p. 1318]
W. Klingauf, H. Gaedke, R. Guenzel

PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
T. Arpinen, P. Kukkala, E. Salminen, M. Hännikäinen and T. D. Hämäläinen

PDF icon ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding [p. 1330]
O. Muller, A. Baghdadi and M. Jézéquel