DATE 2006 AUTHOR INDEX

[A] [B] [C] [D] [E] [F] [G] [H] [I] [J] [K] [L] [M] [N] [O] [P] [Q] [R] [S] [T] [U] [V] [W] [X] [Y] [Z]


A

Abbas, M.
PDF icon On-Chip 8GHz Non-Periodic High-Swing Noise Detector [p. 670]
Abbaspour, S.
PDF icon Non-Gaussian Statistical Interconnect Timing Analysis [p. 533]
Abdollahi, A.
PDF icon Analysis and Synthesis of Quantum Circuits by Using Quantum Decision Diagrams [p. 317]
Abraham, J. A.
PDF icon Automatic Insertion of Low Power Annotations in RTL for Pipelined Microprocessors [p. 496]
Acquaviva, A.
PDF icon Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study [p. 15]
PDF icon A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs [p. 876]
Ademaj, A.
PDF icon A Time-Triggered Ethernet (TTE) Switch [p. 794]
Ahmed, W.
PDF icon Faster Exploration of High Level Design Alternatives Using UML for Better Partitions [p. 579]
Ahn, M.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
Aitken, R.
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Akgul, B. E. S.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Alam, M. A.
PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
Al-Ars, Z.
PDF icon Space of DRAM Fault Models and Corresponding Testing [p. 1252]
Albrecht, C.
PDF icon A Dynamically Reconfigurable Packet-Switched Network-on-Chip [p. 136]
PDF icon Efficient Incremental Clock Latency Scheduling for Large Circuits [p. 1091]
Al-Hashimi, B. M.
PDF icon Minimizing Test Power in SRAM through Reduction of Pre-Charge Activity [p. 1159]
Alimonda, A.
PDF icon A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs [p. 876]
Al-Junaid, H.
PDF icon HDL Models of Ferromagnetic Core Hysteresis Using Timeless Discretisation of the Magnetic Slope [p. 644]
Alkadi, G.
PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
Almukhaizim, S.
PDF icon Berger Code-Based Concurrent Error Detection in Asynchronous Burst-Mode Machines [p. 71]
Ameliard, B.
PDF icon Reducing the Sub-Threshold and Gate-Tunneling Leakage of SRAM Cells Using Dual-Vt and Dual-Tox Assignment [p. 995]
Angiolini, F.
PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Anis, M.
PDF icon An Analytical State Dependent Leakage Power Model for FPGAs [p. 612]
Arm, C.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Arpinen, T.
PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
Arslan, H.
PDF icon Efficient Timing-Driven Incremental Routing for VLSI Circuits Using DFs and Localized Slack-Satisfaction Computations [p. 768]
Arslan, T.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Asada, K.
PDF icon On-Chip 8GHz Non-Periodic High-Swing Noise Detector [p. 670]
PDF icon Timing-Driven Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization [p. 884]
Asadi, H.
PDF icon Vulnerability Analysis of L2 Cache Elements to Single Event Upsets [p. 1276]
Asai, H.
PDF icon Large Scale RLC Circuit Analysis Using RLCG-MNA Formulation [p. 45]
Ascheid, G.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
Askar, S.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Atienza, D.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]

B

Babighian, P.
PDF icon Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion [p. 868]
Baghdadi, A.
PDF icon ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding [p. 1330]
Bahar, R. I.
PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
Balachandran, J.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Balakrishnan, K. J.
PDF icon Efficient Unknown Blocking Using LFSR Reseeding [p. 1051]
Balarin, F.
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
PDF icon Functional Verification Methodology Based on Formal Interface Specification and Transactor Generation [p. 1013]
Ballapuram, C.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Banaiyan, A.
PDF icon A Concurrent Testing Method for NoC Switches [p. 1171]
Bancel, F.
PDF icon A Secure Scan Design Methodology [p. 1177]
Banerjee, N.
PDF icon Low Power Synthesis of Dynamic Logic Circuits Using Fine-Grained Clock Gating [p. 862]
Banerjee, P.
PDF icon Smart Bit-Width Allocation for Low Power Optimization in a SystemC Based ASIC Design Environment [p. 618]
Bansal, A.
PDF icon Circuit-Aware Device Design Methodology for Nanometer Technologies: A Case Study for Low Power SRAM Design [p. 983
Bartzas, A.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
Barua, R.
PDF icon An Integrated Scratch-Pad Allocator for Affine and Non-Affine Code [p. 925]
Baschirotto, A.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Basu, A.
PDF icon Battery-Aware Code Partitioning for a Text to Speech System [p. 672]
Basu, P.
PDF icon What Lies between Design Intent Coverage and Model Checking? [p. 1217]
Bautista, T.
PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
Becker, L. B.
PDF icon Optimizing the Generation of Object-Oriented Real-Time Embedded Applications Based on the Real-Time Specification for Java [p. 806]
Beltrame, G.
PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
Ben Hassen, J.
PDF icon On the Numerical Verification of Probabilistic Rewriting Systems [p. 1223]
Benini, L.
PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
PDF icon Combining Simulation and Formal Methods for System-Level Performance Analysis [p. 236]
PDF icon Application Specific NoC Design [p. 491]
PDF icon Exploring "Temperature-Aware" Design in Low-Power MPSoCs [p. 838]
PDF icon Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion [p. 868]
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Benny, O.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Benso, A.
PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
Bensoudane, E.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Bergamaschi, R.A.
PDF icon Heterogeneous Behavioral Hierarchy for System Level Designs [p. 565]
Bernardi, P.
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
Bernasconi, A.
PDF icon Efficient Minimization of Fully Testable 2-SPP Networks [p. 1300]
Bertacco, V.
PDF icon Distance-Guided Hybrid Verification with GUIDO [p. 1211]
Bertels, K.
PDF icon Compiler-Driven FPGA-Area Allocation for Reconfigurable Computing [p. 369]
Bertozzi, D.
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
PDF icon Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study [p. 15]
Bertozzi, S.
PDF icon Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study [p. 15]
Beutel, J.
PDF icon Fast-prototyping Using the BTnode Platform [p. 977]
Beyne, E.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Bhaduri, D.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
Bhanja, S.
PDF icon Novel Designs for Thermally Robust Coplanar Crossing in QCA [p. 786]
Bhunia, S.
PDF icon Ultralow Power Computing with Sub-Threshold Leakage: A Comparative Study of Bulk and SOI Technologies [p. 856]
PDF icon Low Power Synthesis of Dynamic Logic Circuits Using Fine-Grained Clock Gating [p. 862]
Bickford, J.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Biswas, P.
PDF icon Automatic Identification of Application-Specific Functional Units with Architecturally Visible Storage [p. 212]
Blaauw, D.
PDF icon An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits [p. 164]
Blanton, R. D.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Boelcskei, H.
PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]
Bojnordi, M. N.
PDF icon A Concurrent Testing Method for NoC Switches [p. 1171]
Bolotin, E.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Bombieri, N.
PDF icon On the Evaluation of Transactor-Based Verification for Reusing TLM Assertions and Testbenches at RTL [p. 1007]
Bonivento, A.
PDF icon Platform-Based Design of Wireless Sensor Networks for Industrial Applications[p. 1103]
Bonnet, P.
PDF icon Hogthrob: Towards a Sensor Network Infrastructure for Sow Monitoring [p. 1109]
Borgmann, M.
PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]
Borrione, D.
PDF icon Proven Correct Monitors from PSL Specifications [p. 1246]
Bosio, A.
PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
Bouganis, C.-S.
PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Bounceur, A.
PDF icon Pseudorandom Functional BIST for Linear and Nonlinear MEMS [p. 664]
Boutillon, E.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Brack, T.
PDF icon Disclosing the LDPC Code Decoder Design Space [p. 200]
Brandão do Nascimento, P. S.
PDF icon Temporal Partitioning for Image Processing Based on Time-Space Complexity in Reconfigurable Architectures [p. 375]
Braun, M.
PDF icon Virtual Prototyping of Embedded Platforms for Wireless and Multimedia [p. 488]
Brebels, S.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Brebner, G.
PDF icon Memory Centric Thread Synchronization on Platform FPGAs [p. 959]
Brewer, F.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Bringmann, O.
PDF icon Formal Performance Analysis and Simulation of UML/SysML Models for ESL Design [p. 242]
Brisk, P.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Bronckers, S.
PDF icon Systematic Stability-Analysis Method for Analog Circuits [p. 150]
Brown, J. G.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Budnik, M.
PDF icon Minimizing Ohmic Loss and Supply Voltage Variation Using a Novel Distributed Power Supply Network [p. 1116]
Buehler, M.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Buergin, F.
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
Burg, A.
PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]

C

Cadambi, S.
PDF icon Power Analysis of Mobile 3D Graphics [p. 502]
Cai, W.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Carbognani, F.
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
Carchon, G.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Carloni, L. P.
PDF icon Platform-Based Design of Wireless Sensor Networks for Industrial Applications[p. 1103]
Carro, L.
PDF icon An RF Improved Loopback for Test Time Reduction [p. 646]
Carta, S.
PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
PDF icon A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs [p. 876]
Caseiro, R.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Catthoor, F.
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
PDF icon Scalable Performance-Energy Trade-Off Exploration of Embedded Real-Time Systems on Multiprocessor Platforms [p. 1073]
Cazeaux, J. M.
PDF icon Low-Cost and Highly Reliable Detector for Transient and Crosstalk Faults Affecting FPGA Interconnects [p. 170]
Ceng, J.
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Chai, D.
PDF icon Building a Better Boolean Matcher and Symmetry Detector [p. 1079]
Chakrabarti, P. P.
PDF icon What Lies between Design Intent Coverage and Model Checking? [p. 1217]
Chakrabarty, K.
PDF icon Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips [p. 285]
PDF icon Droplet Routing in the Synthesis of Digital Microfluidic Biochips [p. 323]
PDF icon Test Set Enrichment Using a Probabilistic Fault Model and the Theory of Output Deviations [p. 1270]
Chakraborty, A.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
Chakradhar, S. T.
PDF icon Efficient Unknown Blocking Using LFSR Reseeding [p. 1051]
PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
Chakrapani, L. N.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Chang, D.-M.
PDF icon A Built-In Redundancy-Analysis Scheme for RAMS with 2D Redundancy Using 1D Local Bitmap [p. 53]
Chang, N.
PDF icon Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip [p. 712]
Chang, Y.-J.
PDF icon An Ultra Low-Power TLB Design [p. 1122]
Chao, M. C.-T.
PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
Charbon, E.
PDF icon A Single Photon Avalanche Diode Array Fabricated in Deep-Submicron CMOS Technology [p. 81]
Chatha, K. S.
PDF icon A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures [p. 130]
Chatterjee, A.
PDF icon Online RF Checkers for Diagnosing Multi-Gigahertz Automatic Test Boards on Low Cost ATE Platforms [p. 658]
Chattopadhyay, A.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Cheemalavagu, S.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Chen, C.C-P.
PDF icon Statistical Timing Analysis with Path Reconvergence and Spatial Correlations [p. 528]
G. Chen
PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
PDF icon Dynamic Scratch-Pad Memory Management for Irregular Array Access Patterns [p. 931]
Chen, H.
PDF icon Performance Optimization for Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems [p. 678]
Chen, J.-J.
PDF icon Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint [p. 1061]
Chen, K.C.
PDF icon Energy Efficiency vs. Programmability Trade-off: Architectures and Design Principles [p. 587]
Chen, Q.
PDF icon Circuit-Aware Device Design Methodology for Nanometer Technologies: A Case Study for Low Power SRAM Design [p. 983
Chen, T.
PDF icon Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR [p. 626]
Chen, X.
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
Cheng, H.
PDF icon Online Energy-Aware I/O Device Scheduling for Hard Real-Time Systems [p. 1055]
Cheng, K.-.T
PDF icon Timing-Reasoning-Based Delay Fault Diagnosis [p. 418]
PDF icon Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation [p. 424]
PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
Cherroun, H.
PDF icon Scheduling under Resource Constraints Using Dis-Equations [p. 1067]
Cheung, P. Y. K.
PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Chiang, C.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Chiarulli, D. M.
PDF icon Nonlinear Model Order Reduction Using Remainder Functions [p. 281]
Cho, H.
PDF icon Lock-Free Synchronization for Dynamic Embedded Real-Time Systems [p. 438]
Cho, N.
PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
Choi, J. H.
PDF icon Dynamic Bit-Width Adaptation in DCT : Image Quality Versus Computation Energy Trade-Off [p. 520]
Choi, K.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
Choi, K.-M.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Chopra, K.
PDF icon An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits [p. 164]
Choudhury, M.
PDF icon Battery-Aware Code Partitioning for a Text to Speech System [p. 672]
Cidon, I.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Ciesielski, M.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Ciriani, V.
PDF icon Efficient Minimization of Fully Testable 2-SPP Networks [p. 1300]
Coenen, M.
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
Coker, D.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
Come, B.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Conrad, M.
PDF icon Model-Based Development of In-Vehicle Software [p. 89]
Cornea, R.
PDF icon Software Annotations for Power Optimization on Mobile Devices [p. 684]
Cotofana, S. D.
PDF icon Compositional, Efficient Caches for a Chip Multi-Processor [p. 345]
Craninckx, J.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Cserveny, S.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Culler, D.
Deploying Networks Based on TinyOS

D

D'Amico, S.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Darte, A.
PDF icon Scheduling under Resource Constraints Using Dis-Equations [p. 1067]
Das, S.
PDF icon What Lies between Design Intent Coverage and Model Checking? [p. 1217]
Dasgupta, P.
PDF icon What Lies between Design Intent Coverage and Model Checking? [p. 1217]
De Bernardinis, F.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Bosschere, K. De
PDF icon Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors [p. 351]
de Lima, M. E.
PDF icon Temporal Partitioning for Image Processing Based on Time-Space Complexity in Reconfigurable Architectures [p. 375]
De Micheli, G.
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
De Raedt, W.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Decotignie, J.-D.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Dégardins, P.
PDF icon Electric and Electronic Vehicle Architecture Assessment [p. 558]
Dehaene, W.
PDF icon From UML/SysML to Matlab/Simulink: Current State and Future Perspectives [p. 93]
Densmore, D.
PDF icon FPGA Architecture Characterization for System Level Performance Analysis [p. 734]
D'Errico, J.
PDF icon Constructing Portable Compiled Instruction-Set Simulators -- An ADL-Driven Approach [p. 112]
Desineni, R.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
de Vries, R. Penning
PDF icon EDA Challenges in the Converging Application World [p. 1]
Dey, S.
PDF icon Integrated Data Relocation and Bus Reconfiguration for Adaptive System-on-Chip Platforms [p. 728]
Dhayni, A.
PDF icon Pseudorandom Functional BIST for Linear and Nonlinear MEMS [p. 664]
Di Carlo, S.
PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
Di Natale, G.
PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
Dick, R.P.
PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Dilillo, L.
PDF icon Minimizing Test Power in SRAM through Reduction of Pre-Charge Activity [p. 1159]
Dimond, R.
PDF icon Automating Processor Customisation: Optimised Memory Access and Resource Sharing [p. 206]
Carmo Lucas, A. do
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Doboli, A.
PDF icon ALAMO: An Improved Sigma-Space Based Methodology for Modeling Process Parameter Variations in Analog Circuits [p. 156]
PDF icon Systematic Methodology for Designing Reconfigurable ΔΣ Modulator Topologies for Multimode Communication Systems [p. 393]
Dobrovolny, P.
PDF icon Systematic Stability-Analysis Method for Analog Circuits [p. 150]
Doemer, R.
PDF icon Quantitative Analysis of Transaction Level Models for the AMBA Bus [p. 230]
Doerr, H.
PDF icon Model-Based Development of In-Vehicle Software [p. 89]
Domic, A.
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Donlin, A.
PDF icon FPGA Architecture Characterization for System Level Performance Analysis [p. 734]
Dorsch, R.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Drechsler, R.
PDF icon On the Relation between Simulation-Based and SAT-Based Diagnosis [p. 1139]
PDF icon Avoiding False Negatives in Formal Verification for Protocol-Driven Blocks [p. 1225]
PDF icon Efficient Minimization of Fully Testable 2-SPP Networks [p. 1300]
Duraisami, K.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
Dutt, N.
PDF icon Automatic Identification of Application-Specific Functional Units with Architecturally Visible Storage [p. 212]
PDF icon Software Annotations for Power Optimization on Mobile Devices [p. 684]
PDF icon COSMECA: Application Specific Co-Synthesis of Memory and Communication Architectures for MPSoC [p. 700]
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Dutt, S.
PDF icon Efficient Timing-Driven Incremental Routing for VLSI Circuits Using DFs and Localized Slack-Satisfaction Computations [p. 768]
PDF icon Efficient On-Line Interconnect Testing in FPGAs with Provable Detectability for Multiple Faults [p. 1165]

E

Earlie, E.
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Edwards, S. A.
PDF icon Optimizing Sequential Cycles through Shannon Decomposition and Retiming [p. 1085]
Eeckhout, L.
PDF icon Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors [p. 351]
Eichner, S.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Ekpanyapong, M.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Eles, P.
PDF icon Power Constrained and Defect-Probability Driven SoC Test Scheduling with Test Set Partitioning [p. 291]
PDF icon Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-Offs for Distributed Embedded Systems [p. 706]
PDF icon Buffer Space Optimisation with Communication Synthesis and Traffic Shaping for NoCs [p. 718]
PDF icon Formal Verification of SystemC Designs Using a Petri-Net Based Representation [p. 1228]
El-Hoyidi, A.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Elmasry, M. I.
PDF icon A 10 GHz 15 dB Four-Stage Distributed Amplifier in 0.18 μm CMOS Process [p. 405]
Enescu, F.
PDF icon Equivalence Verification of Arithmetic Datapaths with Multiple Word-Length Operands [p. 824]
Enz, C.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Eo, S.-K.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Ernst, R.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
PDF icon Improved Offset-Analysis Using Multiple Timing-References [p. 450]
Eyerman, S.
PDF icon Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors [p. 351]

F

Fach, R.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Falk, J.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Fallah, F.
PDF icon Reducing the Sub-Threshold and Gate-Tunneling Leakage of SRAM Cells Using Dual-Vt and Dual-Tox Assignment [p. 995]
PDF icon Optimizing High Speed Arithmetic Circuits Using Three-Term Extraction [p. 1294]
Fang, S. C.
PDF icon Cooptimization of Interface Hardware and Software for I/O Controllers [p. 724]
Farine, P.-A.
PDF icon Architectural and Technology Influence on the Optimal Total Power Consumption [p. 989]
Fatemi, H.
PDF icon Non-Gaussian Statistical Interconnect Timing Analysis [p. 533]
Feautrier, P.
PDF icon Scheduling under Resource Constraints Using Dis-Equations [p. 1067]
Felber, N.
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
Feng, L.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Ferrari, F.
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Ferri, C.
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Fettweis, G.
PDF icon Energy Efficiency vs. Programmability Trade-off: Architectures and Design Principles [p. 587]
PDF icon 4G Applications, Architectures, Design Methodology and Tools for MPSoC [p. 830]
Fey, G.
PDF icon On the Relation between Simulation-Based and SAT-Based Diagnosis [p. 1139]
PDF icon Avoiding False Negatives in Formal Verification for Protocol-Driven Blocks [p. 1225]
Fichtner, W.
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
Flottes, M.-L.
PDF icon A Secure Scan Design Methodology [p. 1177]
Frehse, G.
PDF icon Verifying Analog Oscillator Circuits Using Forward/Backward Abstraction Refinement [p. 257]
Friebel, D.
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Friedman, J.
PDF icon MATLAB/Simulink for Automotive Systems Design [p. 87]
Fuchs, S.
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]
Fujiwara, H.
PDF icon Power-Constrained Test Scheduling for Multi-Clock Domain SoCs [p. 297]
PDF icon Functional Constraints vs. Test Compression in Scan-Based Delay Testing. [p. 1039]
Fummi, F.
PDF icon On the Evaluation of Transactor-Based Verification for Reusing TLM Assertions and Testbenches at RTL [p. 1007]

G

Gaedke, H.
PDF icon TRAIN: A Virtual Transaction Layer Architecture for TLM-Based HW/SW Codesign of Synthesizable MPSoC [p. 1318]
Gallie, K.
PDF icon Diagnosis of Defects on Scan Enable and Clock Trees [p. 436]
Gandhi, K. R.
PDF icon Exploiting Data-Dependent Slack Using Dynamic Multi-VDD to Minimize Energy Consumption in Datapath Circuits [p. 1001]
Garcia, J.
PDF icon Bootstrapped Full-Swing CMOS Driver for Low Supply Voltage Operation
Gebel, K.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Geukes, B.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Ghiasi, S.
PDF icon Power-Aware Compilation for Embedded Processors with Dynamic Voltage Scaling and Adaptive Body Biasing Capabilities [p. 943]
Giannini, V.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]
Gielen, G.
PDF icon Double-Strength CAFFEINE: Fast Template-Free Symbolic Modeling of Analog Circuits via Implicit Canonical Form Functions and Explicit Introns [p. 269]
PDF icon Top-Down Heterogeneous Synthesis of Analog and Mixed-Signal Systems [p. 275]
Gill, B.
PDF icon Soft Delay Error Analysis in Logic Circuits [p. 47]
Ginosar, R.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Girard, P.
PDF icon Minimizing Test Power in SRAM through Reduction of Pre-Charge Activity [p. 1159]
Giroud, F.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Giunchiglia, E.
PDF icon Quantifier Structure in Search Based Procedures for QBFs [p. 812]
Gizopoulos, D.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Glesner, M.
PDF icon A Signal Theory Based Approach to the Statistical Analysis of Combinatorial Nanoelectronic Circuits [p. 632]
Goddard, S.
PDF icon Online Energy-Aware I/O Device Scheduling for Hard Real-Time Systems [p. 1055]
Goehner, P.
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]
Goel, S. K.
PDF icon Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips [p. 285]
Goessel, M.
PDF icon Test Set Enrichment Using a Probabilistic Fault Model and the Theory of Output Deviations [p. 1270]
Gokhale, M.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
Gomez-Prado, D.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Gong, W.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Goossens, K.
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
Graham, P.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
Greiner, A.
PDF icon An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles [p. 94]
Grillinger, P.
PDF icon A Time-Triggered Ethernet (TTE) Switch [p. 794]
Grosse, D.
PDF icon Avoiding False Negatives in Formal Verification for Protocol-Driven Blocks [p. 1225]
Groszschaedl, J.
PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
Gu, Z.
PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Guenzel, R.
PDF icon TRAIN: A Virtual Transaction Layer Architecture for TLM-Based HW/SW Codesign of Synthesizable MPSoC [p. 1318]
Guerri, A.
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
Guillot, J.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Guo, H.
PDF icon Customization of Application Specific Heterogeneous Multi-Pipeline Processors [p. 746]
Gupta, A.
PDF icon Disjunctive Image Computation for Embedded Software Verification [p. 1205]
Gupta, P.
PDF icon Test Generation for Combinational Quantum Cellular Automata (QCA) Circuits [p. 311]
Gupta, R.
PDF icon Parallel Co-Simulation Using Virtual Synchronization with Redundant Host Execution [p. 1151]
Guz, Z.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Gyger, S.
PDF icon The Ultra Low-Power WiseNET System [p. 971]

H

Ha, S.
PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
PDF icon Parallel Co-Simulation Using Virtual Synchronization with Redundant Host Execution [p. 1151]
Habibi, A.
PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
Halatsis, C.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Hämäläinen, T. D.
PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
Hamdioui, S.
PDF icon Space of DRAM Fault Models and Corresponding Testing [p. 1252]
Han, H.
PDF icon Restructuring Field Layouts for Embedded Memory System [p. 937]
Handziski, V.
PDF icon An Environment for Controlled Experiments with In-House Sensor Networks [p. 1108]
Hännikäinen, M.
PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
Hao, X.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Harbich, K.
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]
Harris, I. G.
PDF icon A Coverage Metric for the Validation of Interacting Processes [p. 1019]
Haubelt, C.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Havinga, P. J. M.
PDF icon Wireless Sensor Networks and Beyond [p. 970]
He, C.
PDF icon RAS-NANO: A Reliability-Aware Synthesis Framework for Reconfigurable Nanofabrics [p. 1179]
He, Z.
PDF icon Power Constrained and Defect-Probability Driven SoC Test Scheduling with Test Set Partitioning [p. 291]
Hély, D.
PDF icon A Secure Scan Design Methodology [p. 1177]
Healy, M.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Heighton, J.
PDF icon Designing Signal Processing Systems for FPGAs [p. 92]
Heijligers, M. J. M.
PDF icon Compositional, Efficient Caches for a Chip Multi-Processor [p. 345]
Heiligers, M.
PDF icon On Test Conditions for the Detection of Open Defects [p. 896]
Heinkel, U.
PDF icon New Methods and Coverage Metrics for Functional Verification [p. 1025]
Heithecker, S.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Henia, R.
PDF icon Improved Offset-Analysis Using Multiple Timing-References [p. 450]
Herkersdorf, A.
PDF icon Performance Evaluation for System-on-Chip Architectures Using Trace-Based Transaction Level Simulation [p. 248]
PDF icon AutoVision - Flexible Processor Architecture for Video-assisted Driving [p. 556]
Hermida, R.
PDF icon Pre-Synthesis Optimization of Multiplications to Improve Circuit Performance [p. 1306]
Hibbeler, J.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Hohenauer, M.
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
Hong, S.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Hosangadi, A.
PDF icon Optimizing High Speed Arithmetic Circuits Using Three-Term Extraction [p. 1294]
Hosseinabady, M.
PDF icon A Concurrent Testing Method for NoC Switches [p. 1171]
Hsieh, H.
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
Hsu, H.-.R
PDF icon Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint [p. 1061]
Hu, J.
PDF icon Integrated Placement and Skew Optimization for Rotary Clocking [p. 756]
PDF icon Associative Skew Clock Routing for Difficult Instances [p. 762]
Hu, Y.
PDF icon Statistical Timing Analysis with Path Reconvergence and Spatial Correlations [p. 528]
Huang, J.
PDF icon Defect Tolerance of QCA Tiles [p. 774]
Huang, P.-K.
PDF icon Power-Aware Compilation for Embedded Processors with Dynamic Voltage Scaling and Adaptive Body Biasing Capabilities [p. 943]
Huang, S. H.
PDF icon Cooptimization of Interface Hardware and Software for I/O Controllers [p. 724]
Huang, Y.
PDF icon Diagnosis of Defects on Scan Enable and Clock Trees [p. 436]
Hunt Jr., W. A.
PDF icon Automatic Insertion of Low Power Annotations in RTL for Pipelined Microprocessors [p. 496]
Huther, W.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Hwang, T. T.
PDF icon Crosstalk-Aware Domino Logic Synthesis [p. 1312]
Hwang, W.
PDF icon Droplet Routing in the Synthesis of Digital Microfluidic Biochips [p. 323]

I

Ienne, P.
PDF icon Automatic Identification of Application-Specific Functional Units with Architecturally Visible Storage [p. 212]
PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
Ignat, N.
PDF icon Soft-Error Classification and Impact Analysis on Real-Time Operating Systems [p. 182]
Iizuka, T.
PDF icon Timing-Driven Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization [p. 884]
Ikeda, M.
PDF icon On-Chip 8GHz Non-Periodic High-Swing Noise Detector [p. 670]
PDF icon Timing-Driven Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization [p. 884]
Irick, K.
PDF icon Priority Scheduling in Digital Microfluidics-Based Biochips [p. 329]
Irwin, M. J.
PDF icon Priority Scheduling in Digital Microfluidics-Based Biochips [p. 329]
PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
PDF icon On-Chip Bus Thermal Analysis and Optimization [p. 850]
Ishebabi, H.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Ito, H.
PDF icon Concurrent Core Test for SoC Using Shared Test Set and Scan Chain Disable [p. 1045]
Ivancic, F.
PDF icon Disjunctive Image Computation for Embedded Software Verification [p. 1205]
Iyengar, V.
PDF icon Test Scheduling with Thermal Optimization for Network-on-Chip Systems Using Variable-Rate On-Chip Clocking [p. 652]
Izosimov, V.
PDF icon Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-Offs for Distributed Embedded Systems [p. 706]

J

Jacobi, C.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Jacome, M. F.
PDF icon RAS-NANO: A Reliability-Aware Synthesis Framework for Reconfigurable Nanofabrics [p. 1179]
Jayapala, M.
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
Jensen, E. D.
PDF icon Lock-Free Synchronization for Dynamic Embedded Real-Time Systems [p. 438]
Jerinic, V.
PDF icon New Methods and Coverage Metrics for Functional Verification [p. 1025]
Jézéquel, M.
PDF icon ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding [p. 1330]
Jha, N. K.
PDF icon Test Generation for Combinational Quantum Cellular Automata (QCA) Circuits [p. 311]
Jin, H. S.
PDF icon Strong Conflict Analysis for Propositional Satisfiability [p. 818]
Jung, C.
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]

K

Kaeli, D.
PDF icon Vulnerability Analysis of L2 Cache Elements to Single Event Upsets [p. 1276]
Kaeslin, H.
PDF icon Two-Phase Resonant Clocking for Ultra-Low-Power Hearing Aid Applications [p. 73]
Kahng, A. B.
PDF icon Lens Aberration Aware Timing-Driven Placement [p. 890]
Kalla, P
PDF icon Equivalence Verification of Arithmetic Datapaths with Multiple Word-Length Operands [p. 824]
Kalligeros, E.
PDF icon Efficient Test-Data Compression for IP Cores Using Multilevel Huffman Coding [p. 1033]
Kammler, D.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Kanajan, S.
PDF icon Exploring Trade-offs between Centralized versus Decentralized Automotive Architectures Using a Virtual Integration Environment [p. 548]
Kandemir, M.
PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]
PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
PDF icon Dynamic Scratch-Pad Memory Management for Irregular Array Access Patterns [p. 931]
Kane, R.
PDF icon Monolithic Verification of Deep Pipelines with Collapsed Flushing [p. 1234]
Kang, K.
PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
Kaplan, A.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Kar, S.
PDF icon High Level Synthesis of Higher Order Continuous Time State Variable Filters with Minimum Sensitivity and Hardware Count [p. 1203]
Karakoy, M.
PDF icon Dynamic Scratch-Pad Memory Management for Irregular Array Access Patterns [p. 931]
Karlsson, D.
PDF icon Formal Verification of SystemC Designs Using a Petri-Net Based Representation [p. 1228]
Karuri, K.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
PDF icon A Design Flow for Configurable Embedded Processors Based on Optimized Instruction Set Extension Synthesis [p. 581]
Kastner, R.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
PDF icon Optimizing High Speed Arithmetic Circuits Using Three-Term Extraction [p. 1294]
Kavousianos, X.
PDF icon Efficient Test-Data Compression for IP Cores Using Multilevel Huffman Coding [p. 1033]
Kazmierski, T.
PDF icon HDL Models of Ferromagnetic Core Hysteresis Using Timeless Discretisation of the Magnetic Slope [p. 644]
Kempf, T.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
Khatri, S. P.
PDF icon Bus Stuttering: An Encoding Technique to Reduce Inductive Noise in Off-Chip Data Transmission [p. 522]
Khawam, S.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Kiemb, M.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
Kienle, F.
PDF icon Disclosing the LDPC Code Decoder Design Space [p. 200]
Kim, D.
PDF icon Parallel Co-Simulation Using Virtual Synchronization with Redundant Host Execution [p. 1151]
Kim, H.
PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
Kim, J.
PDF icon Restructuring Field Layouts for Embedded Memory System [p. 937]
Kim, K.
PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
Kim, M.-S.
PDF icon Associative Skew Clock Routing for Difficult Instances [p. 762]
Kim, S.
PDF icon Restructuring Field Layouts for Embedded Memory System [p. 937]
PDF icon Area-Efficient Error Protection for Caches [p. 1282]
Kim, T.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Kim, Y.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
Kim, Y.-T.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Klingauf, W.
PDF icon TRAIN: A Virtual Transaction Layer Architecture for TLM-Based HW/SW Codesign of Synthesizable MPSoC [p. 1318]
Koch, R.
PDF icon A Dynamically Reconfigurable Packet-Switched Network-on-Chip [p. 136]
Koehl, J.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Koepke, A.
PDF icon An Environment for Controlled Experiments with In-House Sensor Networks [p. 1108]
Kogel, T.
PDF icon Virtual Prototyping of Embedded Platforms for Wireless and Multimedia [p. 488]
Kolcu, I.
PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]
PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
Kolodny, A.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Kong, J.-T.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]
Koo, H.-M.
PDF icon Functional Test Generation Using Property Decompositions for Validation of Pipelined Processors [p. 1240]
Kopetz, H.
PDF icon A Time-Triggered Ethernet (TTE) Switch [p. 794]
Korkmaz, P.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Kougianos, E.
PDF icon Physical-Aware Simulated Annealing Optimization of Gate Leakage in Nanoscale Datapath Circuits [p. 1191]
Kozyrakis, C.
PDF icon Simultaneously Improving Code Size, Performance, and Energy in Embedded Processors [p. 224]
Kraemer, S.
PDF icon A Design Flow for Configurable Embedded Processors Based on Optimized Instruction Set Extension Synthesis [p. 581]
Kranitis, N.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Krautz, U.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Krogh, B. H.
PDF icon Verifying Analog Oscillator Circuits Using Forward/Backward Abstraction Refinement [p. 257]
Kruijtzer, W.
PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
Krupp, A.
PDF icon Classification Trees for Random Tests and Functional Coverage [p. 1031]
Kruseman, B.
PDF icon On Test Conditions for the Detection of Open Defects [p. 896]
Kubota, H.
PDF icon Large Scale RLC Circuit Analysis Using RLCG-MNA Formulation [p. 45]
Kuehlmann, A.
PDF icon Building a Better Boolean Matcher and Symmetry Detector [p. 1079]
Kuenzli, S.
PDF icon Combining Simulation and Formal Methods for System-Level Performance Analysis [p. 236]
Kufluoglu, H.
PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
Kukkala, P.
PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
Kulkarni, C.
PDF icon Memory Centric Thread Synchronization on Platform FPGAs [p. 959]
Kumar, A.
PDF icon An Analytical State Dependent Leakage Power Model for FPGAs [p. 612]
Kundu, S.
PDF icon A Design for Failure Analysis (DFFA) Technique to Ensure Incorruptible Signatures [p. 309]
Kuo, T.-W.
PDF icon Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint [p. 1061]
Kwon, W.-C.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]

L

Lach, J.
PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Lahiri, A.
PDF icon Battery-Aware Code Partitioning for a Text to Speech System [p. 672]
Lahiri, K.
PDF icon Power Analysis of Mobile 3D Graphics [p. 502]
PDF icon Adaptive Data Placement in an Embedded Multiprocessor Thread Library [p. 698]
PDF icon Integrated Data Relocation and Bus Reconfiguration for Adaptive System-on-Chip Platforms [p. 728]
Lamberg, K.
PDF icon Model-Based Testing of Automotive Electronics [p. 91]
Lambrechts, A.
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
Lambrette, U.
PDF icon Cross Disciplinary Aspects (4G Wireless Special Day) [p. 726]
Lambrette, U.
PDF icon SoC - Fuelling the Hopes of the Mobile Industry [p. 727]
LaMeres, B. J.
PDF icon Bus Stuttering: An Encoding Technique to Reduce Inductive Noise in Off-Chip Data Transmission [p. 522]
Langer, J.
PDF icon New Methods and Coverage Metrics for Functional Verification [p. 1025]
Langevin, M.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Laoutaris, N.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Lavigeuer, B.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Lee, H. G.
PDF icon Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip [p. 712]
Lee, H.-H. S.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Lee, S.-J.
PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
Legat, J.-D.
PDF icon Application-Specific Reconfigurable XOR-Indexing to Eliminate Cache Conflict Misses [p. 357]
Leong, P. H. W.
PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Leopold, M.
PDF icon Hogthrob: Towards a Sensor Network Infrastructure for Sow Monitoring [p. 1109]
Leroux, E.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Leteinturier, P.
PDF icon Automotive Semi-Conductor Trend and Challenges [p. 559]
Leupers, R.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
PDF icon A Design Flow for Configurable Embedded Processors Based on Optimized Instruction Set Extension Synthesis [p. 581]
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
PDF icon An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration [p. 1145]
Levitan, S. P.
PDF icon Nonlinear Model Order Reduction Using Remainder Functions [p. 281]
Li, D.
PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
Li, F.
PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]
PDF icon Activity Clustering for Leakage Management in SPMs [p. 696]
Li, J.-F.
PDF icon A Built-In Redundancy-Analysis Scheme for RAMS with 2D Redundancy Using 1D Local Bitmap [p. 53]
Li, Z.
PDF icon Performance Optimization for Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems [p. 678]
Lim, S.-K.
PDF icon (774)Optical Routing for 3D System-on-Package [p. 337]
PDF icon 3D Floorplanning with Thermal Vias [p. 878]
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Lin, Y.-C.
PDF icon Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation [p. 424]
Lin, K. J.
PDF icon Cooptimization of Interface Hardware and Software for I/O Controllers [p. 724]
Lindsay, I.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Lingappan, L.
PDF icon Test Generation for Combinational Quantum Cellular Automata (QCA) Circuits [p. 311]
Link, Z.
PDF icon Reuse-Based Test Access and Integrated Test Scheduling for Network-on-Chip Systems [p. 303]
Liu, C.
PDF icon Reuse-Based Test Access and Integrated Test Scheduling for Network-on-Chip Systems [p. 303]
PDF icon Test Scheduling with Thermal Optimization for Network-on-Chip Systems Using Variable-Rate On-Chip Clocking [p. 652]
Liu, F.
PDF icon A Practical Method to Estimate Interconnect Responses to Variabilities [p. 545]
PDF icon Integrated Placement and Skew Optimization for Rotary Clocking [p. 756]
Liu, Y.
PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Liu, Y.-Y.
PDF icon Crosstalk-Aware Domino Logic Synthesis [p. 1312]
Lo, D.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Loh, G. H.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Lombardi, F.
PDF icon Defect Tolerance of QCA Tiles [p. 774]
PDF icon Novel Designs for Thermally Robust Coplanar Crossing in QCA [p. 786]
Lu, Y.-H.
PDF icon Automatic Run-Time Selection of Power Policies for Operating Systems [p. 508]
PDF icon Energy Reduction by Workload Adaptation in a Multi-Process Environment [p. 514]
Lu, Z.
PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Luk, W.
PDF icon Automating Processor Customisation: Optimised Memory Access and Resource Sharing [p. 206]
Lyonnard, D.
PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]

M

Ma, Z.
PDF icon Scalable Performance-Energy Trade-Off Exploration of Embedded Real-Time Systems on Multiprocessor Platforms [p. 1073]
Macii, A.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
PDF icon Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion [p. 868]
Macii, E.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
PDF icon Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion [p. 868]
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Madsen, K.
PDF icon Hogthrob: Towards a Sensor Network Infrastructure for Sow Monitoring [p. 1109]
Mahapatra, N. R.
PDF icon Value-Based Bit Ordering for Energy Optimization of On-Chip Global Signal Buses [p. 624]
PDF icon Exploiting Data-Dependent Slack Using Dynamic Multi-VDD to Minimize Energy Consumption in Datapath Circuits [p. 1001]
Mahmoodi, H.
PDF icon Low Power Synthesis of Dynamic Logic Circuits Using Fine-Grained Clock Gating [p. 862]
Majidzadeh, V.
PDF icon Arbitrary Design of High Order Noise Transfer Function for a Novel Class of Reduced-Sample- Rate Delta-Sigma-Pipeline ADCs [p. 138]
Makris, Y.
PDF icon Berger Code-Based Concurrent Error Detection in Asynchronous Burst-Mode Machines [p. 71]
Mallik, A.
PDF icon Smart Bit-Width Allocation for Low Power Optimization in a SystemC Based ASIC Design Environment [p. 618]
Maly, W.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Mamagkakis, S.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
Mandal, C.
PDF icon High Level Synthesis of Higher Order Continuous Time State Variable Filters with Minimum Sensitivity and Hardware Count [p. 1203]
Manet, P.
PDF icon Application-Specific Reconfigurable XOR-Indexing to Eliminate Cache Conflict Misses [p. 357]
Manolache, S.
PDF icon Buffer Space Optimisation with Communication Synthesis and Traffic Shaping for NoCs [p. 718]
Manolios, P.
PDF icon Monolithic Verification of Deep Pipelines with Collapsed Flushing [p. 1234]
Marchal, P.
PDF icon Exploring "Temperature-Aware" Design in Low-Power MPSoCs [p. 838]
Marculescu, R.
PDF icon Is "Network" the Next "Big Idea" in Design? [p. 254]
PDF icon Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip [p. 712]
Marinissen, E. J.
PDF icon Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips [p. 285]
Martens, E.
PDF icon Top-Down Heterogeneous Synthesis of Analog and Mixed-Signal Systems [p. 275]
Martinez, J. A.
PDF icon Nonlinear Model Order Reduction Using Remainder Functions [p. 281]
Masuda, K.
PDF icon Power-Constrained Test Scheduling for Multi-Clock Domain SoCs [p. 297]
Matula, D. W.
PDF icon A Formal Model and Efficient Traversal Algorithm for Generating Testbenches for Verification of IEEE Standard Floating Point Division [p. 1134]
Maurer, P. M.
PDF icon Using Conjugate Symmetries to Enhance Gate-Level Simulations [p. 638]
Maxiaguine, A.
PDF icon Performance Analysis of Greedy Shapers in Real-Time Systems [p. 444]
Mazumder, P.
PDF icon A Logarithmic Full-Chip Thermal Analysis Algorithm Based on Multi-Layer Green's Function [p. 39]
McConaghy, T.
PDF icon Double-Strength CAFFEINE: Fast Template-Free Symbolic Modeling of Analog Circuits via Implicit Canonical Form Functions and Explicit Introns [p. 269]
McFearin, L. D.
PDF icon A Formal Model and Efficient Traversal Algorithm for Generating Testbenches for Verification of IEEE Standard Floating Point Division [p. 1134]
Mei, T.
PDF icon Efficient AC Analysis of Oscillators Using Least-Squares Methods [p. 263]
Melly, T.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Meloni, P.
PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
Mencer, O.
PDF icon Automating Processor Customisation: Optimised Memory Access and Resource Sharing [p. 206]
Mendias, J. M.
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
PDF icon Pre-Synthesis Optimization of Multiplications to Improve Circuit Performance [p. 1306]
Merentitis, A.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Metra, C.
PDF icon Analysis of the Impact of Bus Implemented EDCs on On-Chip SSN [p. 59]
PDF icon Low-Cost and Highly Reliable Detector for Transient and Crosstalk Faults Affecting FPGA Interconnects [p. 170]
Meyr, H.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
PDF icon 4G Applications, Architectures, Design Methodology and Tools for MPSoC [p. 830]
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
Milano, M.
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
Milward, M.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Minz, J. R.
PDF icon (774)Optical Routing for 3D System-on-Package [p. 337]
Mir, S.
PDF icon Pseudorandom Functional BIST for Linear and Nonlinear MEMS [p. 664]
Mishra, P.
PDF icon Functional Test Generation Using Property Decompositions for Validation of Pipelined Processors [p. 1240]
Mitra, S.
PDF icon Battery-Aware Code Partitioning for a Text to Speech System [p. 672]
Mochocki, B.
PDF icon Power Analysis of Mobile 3D Graphics [p. 502]
Moez, K. K.
PDF icon A 10 GHz 15 dB Four-Stage Distributed Amplifier in 0.18 μm CMOS Process [p. 405]
Mohamed, O. A.
PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
Mohanram, K.
PDF icon Large Power Grid Analysis Using Domain Decomposition [p. 27]
Mohanty, S. P.
PDF icon Physical-Aware Simulated Annealing Optimization of Gate Leakage in Nanoscale Datapath Circuits [p. 1191]
Molina, M. C.
PDF icon Pre-Synthesis Optimization of Multiplications to Improve Circuit Performance [p. 1306]
Molnos, A. M.
PDF icon Compositional, Efficient Caches for a Chip Multi-Processor [p. 345]
Momenzadeh, M.
PDF icon Defect Tolerance of QCA Tiles [p. 774]
Monchiero, M.
PDF icon Power/Performance Hardware Optimization for Synchronization Intensive Applications in MPSoCs [p. 606]
Montiel-Nelson, J. A.
PDF icon Bootstrapped Full-Swing CMOS Driver for Low Supply Voltage Operation
Morin-Allory, K.
PDF icon Proven Correct Monitors from PSL Specifications [p. 1246]
Motley, S. J.
PDF icon Hardware Efficient Architectures for Eigenvalue Computation [p. 953]
Mueller, D.
PDF icon New Methods and Coverage Metrics for Functional Verification [p. 1025]
Mueller, W.
PDF icon Classification Trees for Random Tests and Functional Coverage [p. 1031]
Mueller-Glaser, K. D.
PDF icon Domain Specific Model Driven Design for Automotive Electronic Control Units [p. 557]
Mukhopadhyay, S.
PDF icon Circuit-Aware Device Design Methodology for Nanometer Technologies: A Case Study for Low Power SRAM Design [p. 983
Muller, O.
PDF icon ASIP-Based Multiprocessor SoC Design for Simple and Double Binary Turbo Decoding [p. 1330]
Mundy, J.
PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
Murali, S.
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
Murgai, R.
PDF icon Analyzing Timing Uncertainty in Mesh-Based Clock Architectures [p. 1097]
Murgan, T.
PDF icon A Signal Theory Based Approach to the Statistical Analysis of Combinatorial Nanoelectronic Circuits [p. 632]
Myers, D.
PDF icon Faster Exploration of High Level Design Alternatives Using UML for Better Partitions [p. 579]

N

Nagel, J.-L.
PDF icon Architectural and Technology Influence on the Optimal Total Power Consumption [p. 989]
Nakamura, H.
PDF icon An Efficient and Portable Scheduler for RTOS Simulation and its Certified Integration to SystemC [p. 1157]
Narizzano, M.
PDF icon Quantifier Structure in Search Based Procedures for QBFs [p. 812]
Nauwelaers, B.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Navabi, Z.
PDF icon A Concurrent Testing Method for NoC Switches [p. 1171]
Nazarian, S.
PDF icon Cell Delay Analysis Based on Rate-of-Current Change [p. 539]
Negreiros, M.
PDF icon An RF Improved Loopback for Test Time Reduction [p. 646]
Nelson, J. E.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Nepal, K.
PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
Niclass, C.
PDF icon A Single Photon Avalanche Diode Array Fabricated in Deep-Submicron CMOS Technology [p. 81]
Nicolau, A.
PDF icon Software Annotations for Power Optimization on Mobile Devices [p. 684]
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Nicolescu, B.
PDF icon Soft-Error Classification and Impact Analysis on Real-Time Operating Systems [p. 182]
Nicolescu, G.
PDF icon Soft-Error Classification and Impact Analysis on Real-Time Operating Systems [p. 182]
Nikolos, D.
PDF icon Efficient Test-Data Compression for IP Cores Using Multilevel Huffman Coding [p. 1033]
Noll, T. G.
PDF icon Cross Disciplinary Aspects (4G Wireless Special Day) [p. 726]
Nooshabadi, S.
PDF icon Bootstrapped Full-Swing CMOS Driver for Low Supply Voltage Operation
Nousias, I.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Núñez, A.
PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
Nuzzo, P.
PDF icon A Synthesis Tool for Power-Efficient Base-Band Filter Design [p. 162]

O

Ogras, U. Y.
PDF icon Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip [p. 712]
Oh, K.
PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
Ohlendorf, R.
PDF icon Performance Evaluation for System-on-Chip Architectures Using Trace-Based Transaction Level Simulation [p. 248]
Omaña, M.
PDF icon Low-Cost and Highly Reliable Detector for Transient and Crosstalk Faults Affecting FPGA Interconnects [p. 170]
O'Nils, M.
PDF icon Impact of Bit-Width Specification on the Memory Hierarchy for a Real-Time Video Processing System [p. 752]
Ottavi, M.
PDF icon Novel Designs for Thermally Robust Coplanar Crossing in QCA [p. 786]
Ozturk, O.
PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]
PDF icon Dynamic Scratch-Pad Memory Management for Irregular Array Access Patterns [p. 931]

P

Paci, G.
PDF icon Exploring "Temperature-Aware" Design in Low-Power MPSoCs [p. 838]
Paek, Y.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Palem, K. V.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Palermo, G.
PDF icon Power/Performance Hardware Optimization for Synchronization Intensive Applications in MPSoCs [p. 606]
Panainte, E. M.
PDF icon Compiler-Driven FPGA-Area Allocation for Reconfigurable Computing [p. 369]
Pandey, M.
PDF icon A Design Flow for Configurable Embedded Processors Based on Optimized Instruction Set Extension Synthesis [p. 581]
Pandit, S.
PDF icon High Level Synthesis of Higher Order Continuous Time State Variable Filters with Minimum Sensitivity and Hardware Count [p. 1203]
Papachristou, C.
PDF icon Soft Delay Error Analysis in Logic Circuits [p. 47]
Parameswaran, S.
PDF icon Customization of Application Specific Heterogeneous Multi-Pipeline Processors [p. 746]
Park, C.-H.
PDF icon Lens Aberration Aware Timing-Driven Placement [p. 890]
Park, J.
PDF icon Dynamic Bit-Width Adaptation in DCT : Image Quality Versus Computation Energy Trade-Off [p. 520]
Park, H.-W.
PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
Park, S.
PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Paschalis, A.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Pasricha, S.
PDF icon COSMECA: Application Specific Co-Synthesis of Memory and Communication Architectures for MPSoC [p. 700]
Passerone, R.
PDF icon Functional Verification Methodology Based on Formal Interface Specification and Transactor Generation [p. 1013]
Patel, H. D.
PDF icon Heterogeneous Behavioral Hierarchy for System Level Designs [p. 565]
Patil, N.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Patra, A.
PDF icon High Level Synthesis of Higher Order Continuous Time State Variable Filters with Minimum Sensitivity and Hardware Count [p. 1203]
Patterson, W. R.
PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
Paul, B. C.
PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
PDF icon Ultralow Power Computing with Sub-Threshold Leakage: A Comparative Study of Bulk and SOI Technologies [p. 856]
Paulin, P. G.
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Pecheux, F.
PDF icon An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles [p. 94]
Pedram, M.
PDF icon Analysis and Synthesis of Quantum Circuits by Using Quantum Decision Diagrams [p. 317]
PDF icon Non-Gaussian Statistical Interconnect Timing Analysis [p. 533]
PDF icon Cell Delay Analysis Based on Rate-of-Current Change [p. 539]
PDF icon Reducing the Sub-Threshold and Gate-Tunneling Leakage of SRAM Cells Using Dual-Vt and Dual-Tox Assignment [p. 995]
PDF icon Determining the Optimal Timeout Values for a Power-Managed System Based on the Theory of Markovian Processes: Offline and Online Algorithms [p. 1128]
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Peiris, V.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Peng, Z.
PDF icon Power Constrained and Defect-Probability Driven SoC Test Scheduling with Test Set Partitioning [p. 291]
PDF icon Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-Offs for Distributed Embedded Systems [p. 706]
PDF icon Buffer Space Optimisation with Communication Synthesis and Traffic Shaping for NoCs [p. 718]
PDF icon Formal Verification of SystemC Designs Using a Petri-Net Based Representation [p. 1228]
Pengg, F.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Penning de Vries, R., I
PDF icon EDA Challenges in the Converging Application World [p. 1]
Pereira, C. E.
PDF icon Optimizing the Generation of Object-Oriented Real-Time Embedded Applications Based on the Real-Time Specification for Java [p. 806]
Pettis, N.
PDF icon Automatic Run-Time Selection of Power Policies for Operating Systems [p. 508]
Pfister, P.-D.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Pflanz, M.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Piguet, C.
PDF icon Architectural and Technology Influence on the Optimal Total Power Consumption [p. 989]
Pilkington, C.
PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
PDF icon Distributed Object Models for Multi-Processor SoC's, with Application to Low-Power Multimedia Wireless Systems [p. 482]
Pinello, C.
PDF icon Exploring Trade-offs between Centralized versus Decentralized Automotive Architectures Using a Virtual Integration Environment [p. 548]
Pionteck, T.
PDF icon A Dynamically Reconfigurable Packet-Switched Network-on-Chip [p. 136]
Pisano, A.
PDF icon A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs [p. 876]
Poggiali, A.
PDF icon Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study [p. 15]
Polett, F.
PDF icon Exploring "Temperature-Aware" Design in Low-Power MPSoCs [p. 838]
Poletti, F.
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
PDF icon Combining Simulation and Formal Methods for System-Level Performance Analysis [p. 236]
Polian, I.
PDF icon Functional Constraints vs. Test Compression in Scan-Based Delay Testing. [p. 1039]
Pomeranz, I.
PDF icon Generation of Broadside Transition Fault Test Sets That Detect Four-Way Bridging Faults [p. 907]
PDF icon Test Compaction for Transition Faults under Transparent-Scan [p. 1264]
Poncino, M.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
Pontarelli, S.
PDF icon Novel Designs for Thermally Robust Coplanar Crossing in QCA [p. 786]
Pop, P.
PDF icon Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-Offs for Distributed Embedded Systems [p. 706]
Poucet, C.
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
Pouiklis, G.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
Pozzi, L.
PDF icon Automatic Identification of Application-Specific Functional Units with Architecturally Visible Storage [p. 212]
PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
Pradhan, D. K.
PDF icon Reuse-Based Test Access and Integrated Test Scheduling for Network-on-Chip Systems [p. 303]
Pravadelli, G.
PDF icon On the Evaluation of Transactor-Based Verification for Reusing TLM Assertions and Testbenches at RTL [p. 1007]
Prinetto, P.
PDF icon Automatic March Tests Generations for Static Linked Faults in SRAMs [p. 1258]
Prochnow, S.
PDF icon Comfortable Modeling of Complex Reactive Systems [p. 577]
Pronath, M.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]

Q

Qin, W.
PDF icon Constructing Portable Compiled Instruction-Set Simulators -- An ADL-Driven Approach [p. 112]
Qu, Y.
PDF icon A Parallel Configuration Model for Reducing the Run-Time Reconfiguration Overhead [p. 965]

R

Rabaey, J.
PDF icon Is "Network" the Next "Big Idea" in Design? [p. 254]
Radhakrishnan, S.
PDF icon Customization of Application Specific Heterogeneous Multi-Pipeline Processors [p. 746]
Radulescu, A.
PDF icon A Methodology for Mapping Multiple Use-Cases onto Networks on Chips [p. 118]
Raemy, N.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Raffo, L.
PDF icon Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness [p. 124]
Raghavan, P.
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
Raghunathan, A.
PDF icon Adaptive Data Placement in an Embedded Multiprocessor Thread Library [p. 698]
PDF icon Integrated Data Relocation and Bus Reconfiguration for Adaptive System-on-Chip Platforms [p. 728]
Rao, R.
PDF icon An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits [p. 164]
Ravindran, B.
PDF icon Lock-Free Synchronization for Dynamic Embedded Real-Time Systems [p. 438]
Raychowdhury, A.
PDF icon Ultralow Power Computing with Sub-Threshold Leakage: A Comparative Study of Bulk and SOI Technologies [p. 856]
Reddy, S. M.
PDF icon Generation of Broadside Transition Fault Test Sets That Detect Four-Way Bridging Faults [p. 907]
Reddy, S. M.
PDF icon Analyzing Timing Uncertainty in Mesh-Based Clock Architectures [p. 1097]
Reddy, S. M.
PDF icon Test Compaction for Transition Faults under Transparent-Scan [p. 1264]
Ren, Q.
PDF icon Efficient Factorization of DSP Transforms Using Taylor Expansion Diagram [p. 754]
Reyes, V.
PDF icon A Unified System-Level Modeling and Simulation Environment for MPSoC Design: MPEG-4 Decoder Case Study [p. 474]
Rhines, W. C.
PDF icon Sociology of Design and EDA [p. 2]
Ribordy, A.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Ricketts, A. J.
PDF icon Priority Scheduling in Digital Microfluidics-Based Biochips [p. 329]
Ridenour, J.
PDF icon Automatic Run-Time Selection of Power Policies for Operating Systems [p. 508]
Ripp, A.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Robelly, J.P.
PDF icon Energy Efficiency vs. Programmability Trade-off: Architectures and Design Principles [p. 587]
Rodriguez-Vazquez, A.
PDF icon Systematic and Optimal Design of CMOS Two-Stage Opamps with Hybrid Cascode Compensation [p. 144]
PDF icon Double-Sampling Single-Loop Sigma-Delta Modulator Topologies for Broadband Applications [p. 399]
Rolain, Y.
PDF icon Systematic Stability-Analysis Method for Analog Circuits [p. 150]
Rong, P.
PDF icon Determining the Optimal Timeout Values for a Power-Managed System Based on the Theory of Markovian Processes: Offline and Online Algorithms [p. 1128]
Rosenstiel, W.
PDF icon Formal Performance Analysis and Simulation of UML/SysML Models for ESL Design [p. 242]
Rosinger, P.
PDF icon Minimizing Test Power in SRAM through Reduction of Pre-Charge Activity [p. 1159]
Rossello, J. L.
PDF icon A Compact Model to Identify Delay Faults Due to Crosstalk [p. 902]
Rossi, D.
PDF icon Analysis of the Impact of Bus Implemented EDCs on On-Chip SSN [p. 59]
PDF icon Low-Cost and Highly Reliable Detector for Transient and Crosstalk Faults Affecting FPGA Interconnects [p. 170]
Rouzeyre, B.
PDF icon A Secure Scan Design Methodology [p. 1177]
Roy, K.
PDF icon Dynamic Bit-Width Adaptation in DCT : Image Quality Versus Computation Energy Trade-Off [p. 520]
PDF icon Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits [p. 780]
PDF icon Ultralow Power Computing with Sub-Threshold Leakage: A Comparative Study of Bulk and SOI Technologies [p. 856]
PDF icon Low Power Synthesis of Dynamic Logic Circuits Using Fine-Grained Clock Gating [p. 862]
PDF icon Circuit-Aware Device Design Methodology for Nanometer Technologies: A Case Study for Low Power SRAM Design [p. 983]
PDF icon Minimizing Ohmic Loss and Supply Voltage Variation Using a Novel Distributed Power Supply Network [p. 1116]
Roychowdhury, J.
PDF icon Efficient AC Analysis of Oscillators Using Least-Squares Methods [p. 263]
Rueckert, H.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Rueffer, P.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Rufer, L.
PDF icon Pseudorandom Functional BIST for Linear and Nonlinear MEMS [p. 664]
Ruffieux, D.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
Ruggiero, M.
PDF icon Communication-Aware Allocation and Scheduling Framework for Stream-Oriented Multi-Processor Systems-on-Chip [p. 3]
Ruiz-Sautua, R.
PDF icon Pre-Synthesis Optimization of Multiplications to Improve Circuit Performance [p. 1306]
Rutenbar, R. A.
PDF icon Verifying Analog Oscillator Circuits Using Forward/Backward Abstraction Refinement [p. 257]

S

Safarpour, S.
PDF icon On the Relation between Simulation-Based and SAT-Based Diagnosis [p. 1139]
Salminen, E.
PDF icon Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications [p. 1324]
Samarah, A.
PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
Sánchez, E.
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
Sangiovanni-Vincentelli, A.
PDF icon Is "Network" the Next "Big Idea" in Design? [p. 254]
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
PDF icon Exploring Trade-offs between Centralized versus Decentralized Automotive Architectures Using a Virtual Integration Environment [p. 548]
PDF icon FPGA Architecture Characterization for System Level Performance Analysis [p. 734]
PDF icon Platform-Based Design of Wireless Sensor Networks for Industrial Applications[p. 1103]
Sarrafzadeh, M.
PDF icon Layout Driven Data Communication Optimization for High Level Synthesis [p. 1185]
Sato, N.
PDF icon An Efficient and Portable Scheduler for RTOS Simulation and its Certified Integration to SystemC [p. 1157]
Savaria, Y.
PDF icon Soft-Error Classification and Impact Analysis on Real-Time Operating Systems [p. 182]
Scharwaechter, H.
PDF icon An Interprocedural Code Optimization Technique for Network Processors Using Hardware Multi-Threading Support [p. 919]
Schaumont, P.
PDF icon Design with Race-Free Hardware Semantics [p. 571]
Scheller, G.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Schillaci, M.
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
Schirner, G.
PDF icon Quantitative Analysis of Transaction Level Models for the AMBA Bus [p. 230]
Schlichtmann, U.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Schliebusch, O.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Schlipf, T.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Schoeberl, M.
PDF icon A Time Predictable Java Processor [p. 800]
Schoenwald, T.
PDF icon Formal Performance Analysis and Simulation of UML/SysML Models for ESL Design [p. 242]
Schuster, C.
PDF icon Architectural and Technology Influence on the Optimal Total Power Consumption [p. 989]
Schwarz, J.
PDF icon Test and Reliability Challenges in Automotive Microelectronics [p. 547]
Sciuto, D.
PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
Segura, J.
PDF icon A Compact Model to Identify Delay Faults Due to Crosstalk [p. 902]
Sehgal, A.
PDF icon Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips [p. 285]
Seidel, H.
PDF icon Energy Efficiency vs. Programmability Trade-off: Architectures and Design Principles [p. 587]
Sekar, K.
PDF icon Integrated Data Relocation and Bus Reconfiguration for Adaptive System-on-Chip Platforms [p. 728]
Sergio, M.
PDF icon A Single Photon Avalanche Diode Array Fabricated in Deep-Submicron CMOS Technology [p. 81]
Seshasayee, B.
PDF icon Ultra Efficient (Embedded) SoC Architectures Based on Probabilistic CMOS (PCMOS) Technology [p. 1110]
Sethuraman, B.
PDF icon optiMap: A Tool for Automated Generation of NoC Architectures Using Multi-Port Routers for FPGAs [p. 947]
Shang, L.
PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Sharma, P.
PDF icon Lens Aberration Aware Timing-Driven Placement [p. 890]
Shaver, D.
PDF icon Next Generation Architectures Can Dramatically Reduce the 4G Deployment Cycle [p. 599]
Shekhar, N.
PDF icon Equivalence Verification of Arithmetic Datapaths with Multiple Word-Length Operands [p. 824]
Shin, K.
PDF icon Restructuring Field Layouts for Embedded Memory System [p. 937]
Shoaei, O.
PDF icon Arbitrary Design of High Order Noise Transfer Function for a Novel Class of Reduced-Sample- Rate Delta-Sigma-Pipeline ADCs [p. 138]
Shoaei, O.
PDF icon Systematic and Optimal Design of CMOS Two-Stage Opamps with Hybrid Cascode Compensation [p. 144]
Shoaei, O.
PDF icon Double-Sampling Single-Loop Sigma-Delta Modulator Topologies for Broadband Applications [p. 399]
Shrikumar, H.
PDF icon 40Gbps De-Layered Silicon Protocol Engine for TCP Record [p. 188]
Shrivastava, A.
PDF icon Automatic Generation of Operation Tables for Fast Exploration of Bypasses in Embedded Processors [p. 1197]
Shukla, S.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
PDF icon Design with Race-Free Hardware Semantics [p. 571]
Shukla, S. K.
PDF icon Heterogeneous Behavioral Hierarchy for System Level Designs [p. 565]
Shyam, S.
PDF icon Distance-Guided Hybrid Verification with GUIDO [p. 1211]
Silvano, C.
PDF icon Exploiting TLM and Object Introspection for System-Level Simulation [p. 100]
PDF icon Power/Performance Hardware Optimization for Synchronization Intensive Applications in MPSoCs [p. 606]
Sim, M.-M.
PDF icon Dynamic Code Overlay of SDF-Modeled Programs on Low-End Embedded Systems [p. 945]
Sinha, D.
PDF icon Smart Bit-Width Allocation for Low Power Optimization in a SystemC Based ASIC Design Environment [p. 618]
Sithambaram, P.
PDF icon Thermal Resilient Bounded-Skew Clock Tree Optimization Methodology [p. 832]
Skadron, K.
PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Soffke, O.
PDF icon A Signal Theory Based Approach to the Statistical Analysis of Combinatorial Nanoelectronic Circuits [p. 632]
Soininen, J.-P.
PDF icon A Parallel Configuration Model for Reducing the Run-Time Reconfiguration Overhead [p. 965]
Somenzi, F.
PDF icon Strong Conflict Analysis for Propositional Satisfiability [p. 818]
Sommer, R.
PDF icon DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations and Increased Defect Sensitivity in Digital, Analogue and Mixed-Signal Circuit Design [p. 387]
Sonza Reorda, M.
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
Sorensen, D. C.
PDF icon Large Power Grid Analysis Using Domain Decomposition [p. 27]
Soudris, D.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
PDF icon Automated Exploration of Pareto-Optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems [p. 874]
Soviani, C.
PDF icon Optimizing Sequential Cycles through Shannon Decomposition and Retiming [p. 1085]
Squillero, G.
PDF icon An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis In SoCs [p. 412]
Sridharan, J.
PDF icon Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR [p. 626]
Sridharan, V.
PDF icon Vulnerability Analysis of L2 Cache Elements to Single Event Upsets [p. 1276]
Srinivasan, G.
PDF icon Online RF Checkers for Diagnosing Multi-Gigahertz Automatic Test Boards on Low Cost ATE Platforms [p. 658]
Srinivasan, K.
PDF icon A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures [p. 130]
Srinivasan, S. K.
PDF icon Monolithic Verification of Deep Pipelines with Collapsed Flushing [p. 1234]
Stan, M.
PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Stanley-Marbell, P.
PDF icon Adaptive Data Placement in an Embedded Multiprocessor Thread Library [p. 698]
Steiner, C.
PDF icon Analysis of the Impact of Bus Implemented EDCs on On-Chip SSN [p. 59]
Steinhammer, K.
PDF icon A Time-Triggered Ethernet (TTE) Switch [p. 794]
Streubuehr, M.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Studer, C.
PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]
Su, F.
PDF icon Droplet Routing in the Synthesis of Digital Microfluidic Biochips [p. 323]
Su, Y.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Sun, K.
PDF icon Large Power Grid Analysis Using Domain Decomposition [p. 27]
Sundaresan, K.
PDF icon Value-Based Bit Ordering for Energy Optimization of On-Chip Global Signal Buses [p. 624]
Susin, A. A.
PDF icon An RF Improved Loopback for Test Time Reduction [p. 646]
Suthar, V.
PDF icon Efficient On-Line Interconnect Testing in FPGAs with Provable Detectability for Multiple Faults [p. 1165]
Sylvester, D.
PDF icon An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits [p. 164]
Sze, C.-N.
PDF icon Integrated Placement and Skew Optimization for Rotary Clocking [p. 756]

T

Tabuchi, N.
PDF icon An Efficient and Portable Scheduler for RTOS Simulation and its Certified Integration to SystemC [p. 1157]
Tacchella, A.
PDF icon Quantifier Structure in Search Based Procedures for QBFs [p. 812]
Taenzler, F.
PDF icon Online RF Checkers for Diagnosing Multi-Gigahertz Automatic Test Boards on Low Cost ATE Platforms [p. 658]
Tahar, S.
PDF icon Efficient Assertion Based Verification Using TLM [p. 106]
PDF icon On the Numerical Verification of Probabilistic Rewriting Systems [p. 1223]
Tahoori, M. B.
PDF icon Vulnerability Analysis of L2 Cache Elements to Single Event Upsets [p. 1276]
Tang, H.
PDF icon Systematic Methodology for Designing Reconfigurable ΔΣ Modulator Topologies for Multimode Communication Systems [p. 393]
Tanji, Y.
PDF icon Large Scale RLC Circuit Analysis Using RLCG-MNA Formulation [p. 45]
Tardieu, O.
PDF icon Optimizing Sequential Cycles through Shannon Decomposition and Retiming [p. 1085]
Tast, H. W.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Taylor, V.
PDF icon A Hybrid Framework for Design and Analysis of Fault-Tolerant Architectures for Nanoscale Molecular Crossbar Memories [p. 335]
Teich, J.
PDF icon (145)Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures [p. 480]
Thanailakis, A.
PDF icon Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications [p. 740]
Theodorou, G.
PDF icon Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications [p. 65]
Thiele, L.
PDF icon Combining Simulation and Formal Methods for System-Level Performance Analysis [p. 236]
PDF icon Performance Analysis of Greedy Shapers in Real-Time Systems [p. 444]
Thornberg, B.
PDF icon Impact of Bit-Width Specification on the Memory Hierarchy for a Real-Time Video Processing System [p. 752]
Thyagaraja, S.
PDF icon (774)Optical Routing for 3D System-on-Package [p. 337]
Tillich, S.
PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
Tseng, T.-W.
PDF icon A Built-In Redundancy-Analysis Scheme for RAMS with 2D Redundancy Using 1D Local Bitmap [p. 53]

U

Udayakumaran, S.
PDF icon An Integrated Scratch-Pad Allocator for Affine and Non-Affine Code [p. 925]
Um, J.
PDF icon A Systematic IP and Bus Subsystem Modeling for Platform-Based System Design [p. 560]

V

van de Goor, A. J.
PDF icon Space of DRAM Fault Models and Corresponding Testing [p. 1252]
Van Eijndhoven, J. T. J.
PDF icon Compositional, Efficient Caches for a Chip Multi-Processor [p. 345]
Vanderperren, Y.
PDF icon From UML/SysML to Matlab/Simulink: Current State and Future Perspectives [p. 93]
Vandersteen, G.
PDF icon Systematic Stability-Analysis Method for Analog Circuits [p. 150]
Vandierendonck, H.
PDF icon Application-Specific Reconfigurable XOR-Indexing to Eliminate Cache Conflict Misses [p. 357]
Vassiliadis, S.
PDF icon Compiler-Driven FPGA-Area Allocation for Reconfigurable Computing [p. 369]
Velagapudi, R.
PDF icon Physical-Aware Simulated Annealing Optimization of Gate Leakage in Nanoscale Datapath Circuits [p. 1191]
Vemuri, R.
PDF icon Efficient Temperature-Dependent Symbolic Sensitivity Analysis and Symbolic Performance Evaluation in Analog Circuit Synthesis [p. 283]
PDF icon optiMap: A Tool for Automated Generation of NoC Architectures Using Multi-Port Routers for FPGAs [p. 947]
Veneris, A.
PDF icon On the Relation between Simulation-Based and SAT-Based Diagnosis [p. 1139]
Venkataraman, G.
PDF icon Integrated Placement and Skew Optimization for Rotary Clocking [p. 756]
Verbauwhede, I.
PDF icon Design with Race-Free Hardware Semantics [p. 571]
Verkest, D.
PDF icon Distributed Loop Controller Architecture for Multi-Threading in Uni-Threaded VLIW Processors [p. 339]
Verma, A. K.
PDF icon Combining Algorithm Exploration with Instruction Set Design: A Case Study in Elliptic Curve Cryptography [p. 218]
Viaud, E.
PDF icon An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles [p. 94]
Viehl, A.
PDF icon Formal Performance Analysis and Simulation of UML/SysML Models for ESL Design [p. 242]
Vierhaus, H. T.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Vijaykrishnan, N.
PDF icon Priority Scheduling in Digital Microfluidics-Based Biochips [p. 329]
PDF icon On-Chip Bus Thermal Analysis and Optimization [p. 850]
Villa, O.
PDF icon Power/Performance Hardware Optimization for Synchronization Intensive Applications in MPSoCs [p. 606]
Villa, T.
PDF icon Efficient Minimization of Fully Testable 2-SPP Networks [p. 1300]
Viswanath, V.
PDF icon Automatic Insertion of Low Power Annotations in RTL for Pipelined Microprocessors [p. 496]
Vittes, M.
PDF icon Microarchitectural Floorplanning under Performance and Thermal Tradeoff [p. 1288]
Volet, P.
PDF icon The Ultra Low-Power WiseNET System [p. 971]
von Hanxleden, R.
PDF icon Comfortable Modeling of Complex Reactive Systems [p. 577]

W

Wallentowitz, S.
PDF icon A SW Performance Estimation Framework for Early System-Level-Design Using Fine-Grained Instrumentation [p. 468]
Walter, I.
PDF icon Efficient Link Capacity and QoS Design for Network-on-Chip [p. 9]
Wandeler, E.
PDF icon Performance Analysis of Greedy Shapers in Real-Time Systems [p. 444]
Wang, B.
PDF icon A Logarithmic Full-Chip Thermal Analysis Algorithm Based on Multi-Layer Green's Function [p. 39]
Wang, C.
PDF icon Disjunctive Image Computation for Embedded Software Verification [p. 1205]
Wang, F.
PDF icon On-Chip Bus Thermal Analysis and Optimization [p. 850]
Wang, Q.
PDF icon Lens Aberration Aware Timing-Driven Placement [p. 890]
Wang, S.
PDF icon Efficient Unknown Blocking Using LFSR Reseeding [p. 1051]
PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
Wang, Z.
PDF icon Test Set Enrichment Using a Probabilistic Fault Model and the Theory of Output Deviations [p. 1270]
Watanabe, T.
PDF icon Large Scale RLC Circuit Analysis Using RLCG-MNA Formulation [p. 45]
Weber, K.
PDF icon Evaluating Coverage of Error Detection Logic for Soft Errors Using Formal Methods [p. 176]
Weber, T.
PDF icon Management of Complex Automotive Communication Networks [p. 554]
Webers, T.
PDF icon Analysis and Modeling of Power Grid Transmission Lines [p. 33]
Wehn, N.
PDF icon Disclosing the LDPC Code Decoder Design Space [p. 200]
Wehrmeister, M. A.
PDF icon Optimizing the Generation of Object-Oriented Real-Time Embedded Applications Based on the Real-Time Specification for Java [p. 806]
Wei, W.
PDF icon Coverage Loss by Using Space Compactors in Presence of Unknown Values [p. 1053]
Wei, Y.
PDF icon Systematic Methodology for Designing Reconfigurable ΔΣ Modulator Topologies for Multimode Communication Systems [p. 393]
Wenk, M.
PDF icon Advanced Receiver Algorithms for MIMO Wireless Communication [p. 593]
Wild, T.
PDF icon Performance Evaluation for System-on-Chip Architectures Using Trace-Based Transaction Level Simulation [p. 248]
Wilke, G. R.
PDF icon Analyzing Timing Uncertainty in Mesh-Based Clock Architectures [p. 1097]
Willig, A.
PDF icon An Environment for Controlled Experiments with In-House Sensor Networks [p. 1108]
Wischermann, G.
PDF icon A Reconfigurable HW/SW Platform for Computation Intensive High-Resolution Real-Time Digital Film Applications [p. 194]
Witte, E. M.
PDF icon Automatic ADL-Based Operand Isolation for Embedded Processors [p. 600]
Wolff, F.
PDF icon Soft Delay Error Analysis in Logic Circuits [p. 47]
Wolisz, A.
PDF icon An Environment for Controlled Experiments with In-House Sensor Networks [p. 1108]
Wong, E.
PDF icon 3D Floorplanning with Thermal Vias [p. 878]
Wunderlich, H.-J.
PDF icon Software-Based Self-Test of Processors under Power Constraints [p. 430]

X

Xian, C.
PDF icon Energy Reduction by Workload Adaptation in a Multi-Process Environment [p. 514]
Xie, Y.
PDF icon On-Chip Bus Thermal Analysis and Optimization [p. 850]
Xue, L.
PDF icon Dynamic Partitioning of Processing and Memory Resources in Embedded MPSoC Architectures [p. 690]

Y

Yang, G.
PDF icon Communication and Co-Simulation Infrastructure for Heterogeneous System Integration [p. 462]
Yang, H.
PDF icon Efficient Temperature-Dependent Symbolic Sensitivity Analysis and Symbolic Performance Evaluation in Analog Circuit Synthesis [p. 283]
Yang, K.
PDF icon Timing-Reasoning-Based Delay Fault Diagnosis [p. 418]
Yang, Y.
PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Yang, Z.
PDF icon Disjunctive Image Computation for Embedded Software Verification [p. 1205]
Yavari, M.
PDF icon Systematic and Optimal Design of CMOS Two-Stage Opamps with Hybrid Cascode Compensation [p. 144]
PDF icon Double-Sampling Single-Loop Sigma-Delta Modulator Topologies for Broadband Applications [p. 399]
Yi, Y.
PDF icon System-Level Scheduling on Instruction Cell Based Reconfigurable Systems [p. 381]
Yoneda, T.
PDF icon Power-Constrained Test Scheduling for Multi-Clock Domain SoCs [p. 297]
Yoo, H.-J.
PDF icon A Network-On-Chip with 3gbps/Wire Serialized On-Chip Interconnect Using Adaptive Control Schemes [p. 79]
Yoon, J. W.
PDF icon A Spatial Mapping Algorithm for Heterogeneous Coarse-Grained Reconfigurable Architectures [p. 363]
Yu, S.
PDF icon Performance Optimization for Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems [p. 678]

Z

Zafalon, R.
PDF icon Low-Power Design Tools: Are EDA Vendors Taking this Matter Seriously? [p. 1227]
Zanon, T.
PDF icon Extraction of Defect Density and Size Distributions from Wafer Sort Test Results [p. 913]
Zaslavsky, A.
PDF icon Designing MRF Based Error Correcting Circuits for Memory Elements [p. 792]
Zeng, G.
PDF icon Concurrent Core Test for SoC Using Shared Test Set and Scan Chain Disable [p. 1045]
Zeng, H.
PDF icon Exploring Trade-offs between Centralized versus Decentralized Automotive Architectures Using a Virtual Integration Environment [p. 548]
Zeng, X.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Zhang, H.
PDF icon ALAMO: An Improved Sigma-Space Based Methodology for Modeling Process Parameter Variations in Analog Circuits [p. 156]
Zhang, L.
PDF icon Statistical Timing Analysis with Path Reconvergence and Spatial Correlations [p. 528]
Zhang, Y.
PDF icon Procrastinating Voltage Scheduling with Discrete Frequency Sets [p. 456]
Zhao, Y.
PDF icon ALAMO: An Improved Sigma-Space Based Methodology for Modeling Process Parameter Variations in Analog Circuits [p. 156]
Zhou, D.
PDF icon Time Domain Model Order Reduction by Wavelet Collocation Method [p. 21]
Zhou, H.
PDF icon Smart Bit-Width Allocation for Low Power Optimization in a SystemC Based ASIC Design Environment [p. 618]
Zhou, J.
PDF icon Software-Based Self-Test of Processors under Power Constraints [p. 430]
Zhou, Q.
PDF icon Large Power Grid Analysis Using Domain Decomposition [p. 27]
Zhu, C.
PDF icon Adaptive Chip-Package Thermal Analysis for Synthesis and Design [p. 844]
Zipf, P.
PDF icon A Signal Theory Based Approach to the Statistical Analysis of Combinatorial Nanoelectronic Circuits [p. 632]
Zmily, A.
PDF icon Simultaneously Improving Code Size, Performance, and Energy in Embedded Processors [p. 224]