DATE 2011 AUTHOR INDEX

[A] [B] [C] [D] [E] [F] [G] [H] [I] [J] [K] [L] [M] [N] [O] [P] [Q] [R] [S] [T] [V] [W] [X] [Y] [Z]


A

Aadithya, K.V.
PDF icon SAMURAI: An Accurate Method for Modeling and Simulating Non-Stationary Random Telegraph Noise in SRAMs [p. 1113]
Abadir, M.S.
PDF icon Multidimensional Parametric Test Set Optimization of Wafer Probe Data for Predicting in Field Failures and Setting Tighter Test Limits [p. 794]
Abdallah, R.A.
PDF icon Timing Error Statistics for Energy-Efficient Robust DSP Systems [p. 285]
Abelmann, L.
PDF icon Buffering Implications for the Design Space of Streaming MEMS Storage [p. 253]
Aboulhamid, E.M.
PDF icon Multi-Granularity Thermal Evaluation of 3D MPSoC Architectures [p. 575]
Acquaviva, A.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
PDF icon System Level Techniques to Improve Reliability in High Power Microcontrollers for Automotive Applications [p. 1123]
Adir, A.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Adve, S.V.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Afzali-Kusha, A.
PDF icon Timing Variation-Aware Custom Instruction Extension Technique [p. 1517]
Agarwal, Y.
PDF icon Understanding the Role of Buildings in a Smart Microgrid [p. 1224]
Agyekum, M.Y.
PDF icon A Delay-Insensitive Bus-Invert Code and Hardware Support for Robust Asynchronous Global Communication [p. 1370]
Ahmadian, S.N.
PDF icon Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) [p. 70]
Ahmed, W.
PDF icon Minority-Game-Based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors [p. 1261]
PDF icon mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions [p. 1554]
Ahn, J.H.
PDF icon A Quantitative Analysis of Performance Benefits of 3D Die Stacking on Mobile and Embedded SoC [p. 1333]
Aitken, R.
PDF icon Correlating Models and Silicon for Improved Parametric Yield [p. 1159]
PDF icon Analytical Model for SRAM Dynamic Write-Ability Degradation Due to Gate Oxide Breakdown [p. 1172]
Aitken, R.C.
PDF icon Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs [p. 467]
Akesson, B.
PDF icon Architectures and Modeling of Predictable Memory Controllers for Improved System Integration [p. 851]
Akin, A.
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
Al Faruque, M.A.
PDF icon Dynamic Thermal Management in 3D Multi-Core Architecture through Run-Time Adaptation [p. 299]
PDF icon CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures [p. 515]
Alacoque, L.
PDF icon Smart Imagers of the Future [p. 437]
Al-Dujaily, R.
PDF icon Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks [p. 497]
Al-Hashimi, B.M.
PDF icon Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode [p. 106]
PDF icon Ultra Low-Power Photovoltaic MPPT Technique for Indoor and Outdoor Wireless Sensor Nodes [p. 905]
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
Ali, S.S.
PDF icon Multi-Level Attacks: An Emerging Security Concern for Cryptographic Hardware [p. 1176]
Al-Khayat, R.
PDF icon A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding [p. 228]
Almeida, G.M.
PDF icon Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level [p. 407]
Alorda, B.
PDF icon Stability Optimization of Embedded 8T SRAMs Using Word-Line Voltage Modulation [p. 986]
Altmeyer, S.
PDF icon Precise WCET Calculation in Highly Variant Real-Time Systems [p. 920]
Amende, T.
PDF icon Compiling SyncCharts to Synchronous C [p. 563]
Amory, A.M.
PDF icon Evaluating Energy Consumption of Homogeneous MPSoCs Using Spare Tiles [p. 1164]
Andalam, S.
PDF icon Pruning Infeasible Paths for Tight WCRT Analysis of Synchronous Programs [p. 204]
Andreou, A.G.
PDF icon A High-Level Analytical Model for Application Specific CMP Design Exploration [p. 1095]
Anjam, F.
PDF icon Targeting Code Diversity with Run-Time Adjustable Issue-Slots in a Chip Multiprocessor [p. 1358]
Ansaloni, G.
PDF icon Slack-Aware Scheduling on Coarse Grained Reconfigurable Arrays [p. 1513]
Apte, C.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
Aristizabal, J.
PDF icon 2D and 3D Integration with Organic and Silicon Electronics [p. 899]
Arslan, B.
PDF icon Adaptive Test Optimization through Real Time Learning of Test Effectiveness [p. 1430]
Asadi, H.
PDF icon Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) [p. 70]
PDF icon ScTMR: A Scan Chain-Based Error Recovery Technique for TMR Systems in Safety-Critical Applications [p. 289]
Asadinia, M.
PDF icon Supporting Non-Contiguous Processor Allocation in Mesh-Based CMPs Using Virtual Point-to-Point Links [p. 413]
Asenov, A.
PDF icon Statistical Aspects of NBTI/PBTI and Impact on SRAM Yield [p. 1480]
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Asenov, P.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Aso, M.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]
Atienza, D.
PDF icon A Real-Time Compressed Sensing-Based Personal Electrocardiogram Monitoring System [p. 824]
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Avresky, D.
PDF icon A fault-Tolerant Deadlock-Free Adaptive Routing for On Chip Interconnects [p. 909]
Ayala Garcia, I.N.
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
Azaïs, F.
PDF icon An Electrical Test Method for MEMS Convective Accelerometers: Development and Evaluation [p. 806]

B

Bacivarov, I.
PDF icon Worst-Case Temperature Analysis for Real-Time Systems [p. 631]
Baghdadi, A.
PDF icon A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding [p. 228]
PDF icon A Low Complexity Stopping Criterion for Reducing Power Consumption in Turbo Decoders [p. 649]
Bai, L.S.
PDF icon Simplified Programming of Faulty Sensor Networks via Code Transformation and Run-Time Interval Computation [p. 88]
PDF icon Automated Construction of Fast and Accurate System-Level Models for Wireless Sensor Networks [p. 1083]
Baiocchi, J.A.
PDF icon Demand Code Paging for NAND Flash in MMU-less Embedded Systems [p. 527]
Balani, R.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
Balasubramanian, L.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Ballan, O.
PDF icon Fault Grading of Software-Based Self-Test Procedures for Dependable Automotive Applications [p. 513]
Bampi, S.
PDF icon Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding [p. 1448]
Banga, M.
PDF icon Design-for-Test Methodology for Non-Scan At-Speed Testing [p. 191]
Barceló, S.
PDF icon An Efficient and Scalable STA Tool with Direct Path Estimation and Exhaustive Sensitization Vector Exploration for Optimal Delay Computation [p. 1602]
Bartic, C.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]
Bartolini, A.
PDF icon A Distributed and Self-Calibrating Model-Predictive Controller for Energy and Thermal Management of High-Performance Multicores [p. 830]
Bathen, L.A.D.
PDF icon E-RoC: Embedded Raids-on-Chip for Low Power Distributed Dynamically Managed Reliable Memories [p. 1141]
Bauer, L.
PDF icon Minority-Game-Based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors [p. 1261]
PDF icon mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions [p. 1554]
Becker, B.
PDF icon Integration of Orthogonal QBF Solving Techniques [p. 149]
PDF icon Hyper-Graph Based Partitioning to Reduce DFT Cost for Pre-Bond 3D-IC Testing [p. 1424]
Becker, J.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Beerel, P.A.
PDF icon An Area-Efficient Multi-Level Single-Track Pipeline Template [p. 1509]
Behrend, J.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
Bekooij, M.J.G.
PDF icon Parallelization of While Loops in Nested Loop Programs for Shared-Memory Multiprocessor Systems [p. 697]
PDF icon Resynchronization of Cyclo-Static Dataflow Graphs [p. 1315]
Beltrame, G.
PDF icon Multi-Granularity Thermal Evaluation of 3D MPSoC Architectures [p. 575]
PDF icon A Multi-Objective Decision-Theoretic Exploration Algorithm for Platform-Based Design [p. 1192]
Benini, L.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
PDF icon Design Space Exploration for 3D-Stacked DRAMs [p. 389]
PDF icon A Fully-Synthesizable Single-Cycle Interconnection Network for Shared-L1 Processor Clusters [p. 491]
PDF icon ReliNoC: A Reliable Network for Priority-Based On-Chip Communication [p. 667]
PDF icon A Distributed and Self-Calibrating Model-Predictive Controller for Energy and Thermal Management of High-Performance Multicores [p. 830]
PDF icon An Effective Multi-Source Energy Harvester for Low Power Applications [p. 836]
Beretta, I.
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
Berger, C.
PDF icon Formal Specification and Systematic Model-Driven Testing of Embedded Automotive Systems [p. 118]
Bergman, K.
PDF icon VANDAL: A Tool for the Design Specification of Nanophotonic Networks [p. 782]
Berkelaar, M.
PDF icon Pseudo Circuit Model for Representing Uncertainty in Waveforms [p. 1521]
Bernard, C.
PDF icon A Low-Power VLIW Processor for 3GPP-LTE Complex Numbers Processing [p. 234]
Bernardi, P.
PDF icon Fault Grading of Software-Based Self-Test Procedures for Dependable Automotive Applications [p. 513]
Bernicot, C.
PDF icon Mathematical Approach Based on a "Design of Experiment" to Simulate Process Variations [p. 1486]
Bertacco, V.
PDF icon ReliNoC: A Reliable Network for Priority-Based On-Chip Communication [p. 667]
Bertels, K.
PDF icon Loop Distribution for K-Loops on Reconfigurable Architectures [p. 1548]
Bertozzi, D.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Beserra, G.S.
PDF icon System-Level Modeling of a Mixed-Signal System on Chip for Wireless Sensor Networks [p. 1501]
Beutel, J.
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Beyne, E.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Beyranvand Nejad, A.
PDF icon An FPGA Bridge Preserving Traffic Quality of Service for On-Chip Network-Based Systems [p. 425]
Bhattacharya, B.B.
PDF icon Waste-Aware Dilution and Mixing of Biochemical Samples with Digital Microfluidic Biochips [p. 1059]
Bhunia, S.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
PDF icon Multi-Level Attacks: An Emerging Security Concern for Cryptographic Hardware [p. 1176]
Bi, Y.
PDF icon Fast Statistical Analysis of RC Nets Subject to Manufacturing Variabilities [p. 32]
Bijlsma, T.
PDF icon Parallelization of While Loops in Nested Loop Programs for Shared-Memory Multiprocessor Systems [p. 697]
Bilgic, A.
PDF icon Low Power Smart Industrial Control [p. 595]
Biswas, A.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Blaauw, D.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Bocca, A.
PDF icon Energy Analysis Methods and Tools For Modelling and Optimizing Tyre Systems [p. 1121]
Bock, S.
PDF icon Impact of Process Variation on Endurance Algorithms for Wear-Prone Memories [p. 962]
Boero, C.
PDF icon An Integrated Platform for Advanced Diagnostics [p. 1454]
Bogdan, P.
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Boghrati, B.
PDF icon A Scaled Random Walk Solver for Fast Power Grid Analysis [p. 38]
Bois, G.
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
Bonanno, A.
PDF icon Energy Analysis Methods and Tools For Modelling and Optimizing Tyre Systems [p. 1121]
Bonhomme, Y.
PDF icon Error Prediction Based on Concurrent Self-Test and Reduced Slack Time [p. 1626]
Bonnoit, T.
PDF icon Eliminating Speed Penalty in ECC Protected Memories [p. 1614]
Boos, V.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Borhani, N.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Bota, S.
PDF icon Stability Optimization of Embedded 8T SRAMs Using Word-Line Voltage Modulation [p. 986]
PDF icon An Efficient and Scalable STA Tool with Direct Path Estimation and Exhaustive Sensitization Vector Exploration for Optimal Delay Computation [p. 1602]
Brady, B.A.
PDF icon Counterexample-Guided SMT-Driven Optimal Buffer Sizing [p. 329]
Braun, L.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Bringmann, O.
PDF icon Fast and Accurate Resource Conflict Simulation for Performance Analysis of Multi-Core Systems [p. 210]
Brown, A.R.
PDF icon Statistical Aspects of NBTI/PBTI and Impact on SRAM Yield [p. 1480]
Brown, J.
PDF icon Power Management Trends in Portable Consumer Applications [p. 1048]
Brunelli, D.
PDF icon An Effective Multi-Source Energy Harvester for Low Power Applications [p. 836]
Bruns, F.
PDF icon Low Power Smart Industrial Control [p. 595]
Brunschwiler, T.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Bruschi, F.
PDF icon An Efficient Quantum-Dot Cellular Automata Adder [p. 1220]
Buchli, B.
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Buckl, C.
PDF icon A Workflow for Runtime Adaptive Task Allocation on Heterogeneous MPSoCs [p. 1129]
Burger, T.
PDF icon A Circuit Technology Platform for Medical Data Acquisition and Communication [p. 1472]
Buttrick, M.
PDF icon On Testing Prebond Dies with Incomplete Clock Networks in a 3D IC Using DLLs [p. 1418]

C

Cabodi, G.
PDF icon Interpolation Sequences Revisited [p. 317]
PDF icon Optimized Model Checking of Multiple Properties [p. 543]
Cacciari, M.
PDF icon A Distributed and Self-Calibrating Model-Predictive Controller for Energy and Thermal Management of High-Performance Multicores [p. 830]
Calhoun, B.
PDF icon Reducing the Cost of Redundant Execution in Safety-Critical Systems Using Relaxed Dedication [p. 1249]
Calhoun, B.H.
PDF icon Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs [p. 467]
Calimera, A.
PDF icon Partitioned Cache Architectures for Reduced NBTI-Induced Aging [p. 938]
PDF icon Moving to Green ICT: From Stand-Alone Power-Aware IC Design to an Integrated Approach to Energy Efficient Design of Heterogeneous Electronic Systems [p. 1127]
Camargo da Costa, J.
PDF icon System-Level Modeling of a Mixed-Signal System on Chip for Wireless Sensor Networks [p. 1501]
Caprara, A.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
Carara, E.
PDF icon Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level [p. 407]
Carli, D.
PDF icon An Effective Multi-Source Energy Harvester for Low Power Applications [p. 836]
Carloni, L.P.
PDF icon VANDAL: A Tool for the Design Specification of Nanophotonic Networks [p. 782]
Carlsson, G.
PDF icon Design Automation for IEEE P1687 [p. 1412]
Carrara, S.
PDF icon An Integrated Platform for Advanced Diagnostics [p. 1454]
Carro, L.
PDF icon A New Reconfigurable Clock-Gating Technique for Low Power SRAM-Based FPGAs [p. 752]
Cassidy, A.
PDF icon A High-Level Analytical Model for Application Specific CMP Design Exploration [p. 1095]
Chaix, F.
PDF icon A fault-Tolerant Deadlock-Free Adaptive Routing for On Chip Interconnects [p. 909]
Chakrabarty, K.
PDF icon Waste-Aware Dilution and Mixing of Biochemical Samples with Digital Microfluidic Biochips [p. 1059]
Chakraborty, K.
PDF icon Topologically Homogeneous Power-Performance Heterogeneous Multicore Systems [p. 125]
Chakraborty, R.S.
PDF icon Multi-Level Attacks: An Emerging Security Concern for Cryptographic Hardware [p. 1176]
Chakraborty, S.
PDF icon FlexRay Switch Scheduling - A Networking Concept for Electric Vehicles [p. 76]
PDF icon Re-Engineering Cyber-Physical Control Applications for Hybrid Communication Protocols [p. 914]
Chan, J.
PDF icon VANDAL: A Tool for the Design Specification of Nanophotonic Networks [p. 782]
Chan, T.-B.
PDF icon On the Efficacy of NBTI Mitigation Techniques [p. 932]
Chandra, V.
PDF icon Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs [p. 467]
PDF icon Analytical Model for SRAM Dynamic Write-Ability Degradation Due to Gate Oxide Breakdown [p. 1172]
Chang, C.-W.
PDF icon Formal Reset Recovery Slack Calculation at the Register Transfer Level [p. 571]
Chang, H.-M.
PDF icon An All-Digital Built-In Self-Test Technique for Transfer Function Characterization of RF PLLs [p. 359]
Chang, K.-H.
PDF icon Formal Reset Recovery Slack Calculation at the Register Transfer Level [p. 571]
Chang, N.
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
Chang, S.-C.
PDF icon Thermal-Aware On-Line Task Allocation for 3D Multi-Core Processor Throughput Optimization [p. 8]
Chang, X.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Chen, C.-H.
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Chen, C.-I.
PDF icon Architectural Exploration of 3D FPGAs towards a Better Balance between Area and Delay [p. 587]
Chen, D.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Chen, H.-M.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Chen, H.-T.
PDF icon A New Architecture for Power Network in 3D IC [p. 401]
Chen, J.-J.
PDF icon Worst-Case Temperature Analysis for Real-Time Systems [p. 631]
PDF icon Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler [p. 637]
Chen, L.-C.
PDF icon Cycle-Count-Accurate Processor Modeling for Fast and Accurate System-Level Simulation [p. 341]
Chen, M.
PDF icon Decision Ordering Based Property Decomposition for Functional Test Generation [p. 167]
PDF icon Diagnosing Scan Chain Timing Faults through Statistical Feature Analysis of Scan Images [p. 185]
Chen, T.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
Chen, X.
PDF icon Steiner Tree Based Rotary Clock Routing with Bounded Skew and Capacitive Load Balancing [p. 455]
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Chen, Y.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
PDF icon 3D-ICML: A 3D Bipolar ReRAM Design with Interleaved Complementary Memory Layers [p. 583]
PDF icon Integrated Circuit White Space Redistribution for Temperature Optimization [p. 613]
Chen, Y.-C.
PDF icon 3D-ICML: A 3D Bipolar ReRAM Design with Interleaved Complementary Memory Layers [p. 583]
Chen, Z.
PDF icon Data-Oriented Performance Analysis of SHA-3 Candidates on FPGA Accelerated Computers [p. 1650]
Chen, Z.-W.
PDF icon Obstacle-Aware Multiple-Source Rectilinear Steiner Tree with Electromigration and IR-Drop Avoidance [p. 449]
PDF icon Timing-Constrained I/O Buffer Placement for Flip-Chip Designs [p. 619]
Cheng, B.
PDF icon Statistical Aspects of NBTI/PBTI and Impact on SRAM Yield [p. 1480]
Cheng, C.-K.
PDF icon A Block-Diagonal Structured Model Reduction Scheme for Power Grid Networks [p. 44]
Cheng, K.-T.
PDF icon An All-Digital Built-In Self-Test Technique for Transfer Function Characterization of RF PLLs [p. 359]
Chevobbe, S.
PDF icon Error Prediction Based on Concurrent Self-Test and Reduced Slack Time [p. 1626]
Chiang, P.
PDF icon An Energy-Efficient 64-QAM MIMO Detector for Emerging Wireless Standards [p. 246]
Childers, B.
PDF icon Impact of Process Variation on Endurance Algorithms for Wear-Prone Memories [p. 962]
Childers, B.R.
PDF icon Demand Code Paging for NAND Flash in MMU-less Embedded Systems [p. 527]
Chin, C.-Y.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Chinea, A.
PDF icon A Parallel Hamiltonian Eigensolver for Passivity Characterization and Enforcement of Large Interconnect Macromodels [p. 26]
Chippa, V.K.
PDF icon Design of Voltage-Scalable Meta Functions for Approximate Computing [p. 950]
Chiu, Y.-S.
PDF icon Pipeline Schedule Synthesis for Real-Time Streaming Tasks with Inter/Intra-Instance Precedence Constraints [p. 1321]
Cho, D.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
Cho, J.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Choi, M.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Chou, C.-L.
PDF icon FARM: Fault-Aware Resource Management in NoC-Based Multiprocessor Platforms [p. 673]
Chou, P.
PDF icon Automated Construction of Fast and Accurate System-Level Models for Wireless Sensor Networks [p. 1083]
Chou, P.H.
PDF icon Simplified Programming of Faulty Sensor Networks via Code Transformation and Run-Time Interval Computation [p. 88]
Choudhury, M.R.
PDF icon Reliability-driven Don't Care Assignment for Logic Synthesis [p. 1560]
Chrysanthou, N.
PDF icon Parallel Accelerators for GlimmerHMM Bioinformatics Algorithm [p. 94]
Chrysos, G.
PDF icon Parallel Accelerators for GlimmerHMM Bioinformatics Algorithm [p. 94]
Chung, C.-N.
PDF icon Formal Reset Recovery Slack Calculation at the Register Transfer Level [p. 571]
Chuo, Y.
PDF icon 2D and 3D Integration with Organic and Silicon Electronics [p. 899]
Ciais, P.
PDF icon An Antenna-Filter Co-Design for Cardiac Implants [p. 728]
Ciesielski, M.
PDF icon A New Distributed Event-Driven Gate-Level HDL Simulation by Accurate Prediction [p. 547]
PDF icon Temporal Parallel Simulation: A Fast Gate-Level HDL Simulation Using Higher Level Models [p. 1584]
Cilardo, A.
PDF icon The Potential of Reconfigurable Hardware for HPC Cryptanalytic of SHA-1 [p. 998]
Clermidy, F.
PDF icon A Low-Power VLIW Processor for 3GPP-LTE Complex Numbers Processing [p. 234]
PDF icon A Low Complexity Stopping Criterion for Reducing Power Consumption in Turbo Decoders [p. 649]
PDF icon 3D Embedded Multi-Core: Some Perspectives [p. 1327]
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Constantinides, G.
PDF icon Optimization of Mutually Exclusive Arithmetic Sum-of-Products [p. 1388]
Constantino, N.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Copty, S.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Corporaal, H.
PDF icon Parallelization of While Loops in Nested Loop Programs for Shared-Memory Multiprocessor Systems [p. 697]
PDF icon A 0.964mW Digital Hearing Aid System [p. 883]
PDF icon Resynchronization of Cyclo-Static Dataflow Graphs [p. 1315]
Crop, J.
PDF icon An Energy-Efficient 64-QAM MIMO Detector for Emerging Wireless Standards [p. 246]

D

D'Abramo, P.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
D'Orazio, L.
PDF icon Sensor Networks on the Car: State of the Art and Future Challenges [p. 1030]
Damm, W.
PDF icon Using Contract-Based Component Specifications for Virtual Integration Testing and Architecture Design [p. 1023]
Danger, J.-L.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Danowitz, A.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Dantara, D.
PDF icon SHARC: A Streaming Model for FPGA Accelerators and its Application to Saliency [p. 1237]
Darin, M.
PDF icon Sensor Networks on the Car: State of the Art and Future Challenges [p. 1030]
Darringer, J.A.
PDF icon Early Chip Planning Cockpit [p. 863]
Darve, F.
PDF icon 3D Embedded Multi-Core: Some Perspectives [p. 1327]
Dash, R.K.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
David, J.-B.
PDF icon An Antenna-Filter Co-Design for Cardiac Implants [p. 728]
Davis, W.R.
PDF icon An Energy-Efficient 64-QAM MIMO Detector for Emerging Wireless Standards [p. 246]
Davoodi, A.
PDF icon Power-Driven Global Routing for Multi-Supply Voltage Domains [p. 443]
de Foucauld, E.
PDF icon An Antenna-Filter Co-Design for Cardiac Implants [p. 728]
de Lamotte, F.
PDF icon Dynamic Applications on Reconfigurable Systems: From UML Model Design to FPGAs Implementation [p. 1208]
de Medeiros, J.E.G.
PDF icon System-Level Modeling of a Mixed-Signal System on Chip for Wireless Sensor Networks [p. 1501]
De Meyer, K.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
De Micheli, G.
PDF icon Logic synthesis and Physical Design: Quo Vadis [p. 51]
PDF icon Analytical Heat Transfer Model for Thermal Through-Silicon Vias [p. 395]
PDF icon An Integrated Platform for Advanced Diagnostics [p. 1454]
De Wit, P.
PDF icon Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation [p. 1474]
Dehollain, C.
PDF icon A Circuit Technology Platform for Medical Data Acquisition and Communication [p. 1472]
Del Barrio, A.A.
PDF icon Power Optimization in Heterogenous Datapaths [p. 1400]
Delaveaud, C.
PDF icon An Antenna-Filter Co-Design for Cardiac Implants [p. 728]
Demir, A.
PDF icon SAMURAI: An Accurate Method for Modeling and Simulating Non-Stationary Random Telegraph Noise in SRAMs [p. 1113]
Deng, Q.
PDF icon Energy-Efficient Scheduling of Real-Time Tasks on Cluster-Based Multicores [p. 1135]
Deng, Y.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Deng, Y.S.
PDF icon Scalable Packet Classification via GPU Metaprogramming [p. 871]
Desoli, G.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
Dey, S.
PDF icon VESPA: Variability Emulation for System-on-Chip Performance Analysis [p. 2]
Dick, R.P.
PDF icon Simplified Programming of Faulty Sensor Networks via Code Transformation and Run-Time Interval Computation [p. 88]
PDF icon Integrated Circuit White Space Redistribution for Temperature Optimization [p. 613]
PDF icon Automated Construction of Fast and Accurate System-Level Models for Wireless Sensor Networks [p. 1083]
Diguet, J.-P.
PDF icon Dynamic Applications on Reconfigurable Systems: From UML Model Design to FPGAs Implementation [p. 1208]
Dinda, P.A.
PDF icon Simplified Programming of Faulty Sensor Networks via Code Transformation and Run-Time Interval Computation [p. 88]
PDF icon Automated Construction of Fast and Accurate System-Level Models for Wireless Sensor Networks [p. 1083]
Doboli, A.
PDF icon A Symbolic Technique for Automated Characterization of the Uniqueness and Similarity of Analog Circuit Design Features [p. 1212]
Dobrovolný, P.
PDF icon Variability Aware Modeling for Yield Enhancement of SRAM and Logic [p. 1153]
Dohmen, J.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Dong, X.
PDF icon An Energy-Efficient 3D CMP Design with Fine-Grained Voltage Scaling [p. 539]
PDF icon Design Implications of Memristor-Based RRAM Cross-Point Structures [p. 734]
dos Santos, O.M.
PDF icon Fast and Accurate Transaction-Level Model of a Wormhole Network-on-Chip with Priority Preemptive Virtual Channel Arbitration [p. 1089]
Dragomir, O.S.
PDF icon Loop Distribution for K-Loops on Reconfigurable Architectures [p. 1548]
Drane, T.
PDF icon Optimization of Mutually Exclusive Arithmetic Sum-of-Products [p. 1388]
Drechsler, R.
PDF icon Verifying Dynamic Aspects of UML Models [p. 1077]
PDF icon Determining the Minimal Number of Lines for Large Reversible Circuits [p. 1204]
PDF icon As-Robust-As-Possible Test Generation in the Presence of Small Delay Defects Using Pseudo-Boolean Optimization [p. 1291]
Dreslinski, R.G.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
Dressler, D.
PDF icon Challenges in Designing High Speed Memory Subsystem for Mobile Applications [p. 509]
Dreyer, A.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Drmanac, D.
PDF icon Multidimensional Parametric Test Set Optimization of Wafer Probe Data for Predicting in Field Failures and Setting Tighter Test Limits [p. 794]
Dumas, N.
PDF icon An Electrical Test Method for MEMS Convective Accelerometers: Development and Evaluation [p. 806]
Dupret, A.
PDF icon Smart Imagers of the Future [p. 437]
Dutoit, D.
PDF icon 3D Embedded Multi-Core: Some Perspectives [p. 1327]
Dutt, N.
PDF icon Slack-Aware Scheduling on Coarse Grained Reconfigurable Arrays [p. 1513]
Dutt, N.D.
PDF icon E-RoC: Embedded Raids-on-Chip for Low Power Distributed Dynamically Managed Reliable Memories [p. 1141]

E

Eberle, W.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]
Ebrahimi, M.
PDF icon ScTMR: A Scan Chain-Based Error Recovery Technique for TMR Systems in Safety-Critical Applications [p. 289]
Eeckhout, L.
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Eggersglüβ, S.
PDF icon As-Robust-As-Possible Test Generation in the Presence of Small Delay Defects Using Pseudo-Boolean Optimization [p. 1291]
El-Kharashi, M.W.
PDF icon A Reconfigurable, Pipelined, Conflict Directed Jumping Search SAT Solver [p. 1243]
Eneman, G.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Enz, C.
PDF icon Energy Parsimonious Circuit Design through Probabilistic Pruning [p. 764]
PDF icon A Circuit Technology Platform for Medical Data Acquisition and Communication [p. 1472]
Erb, S.
PDF icon A Method for Fast Jitter Tolerance Analysis of High-Speed PLLs [p. 1107]
Escudero Martinez, M.
PDF icon An FPGA Bridge Preserving Traffic Quality of Service for On-Chip Network-Based Systems [p. 425]
Evain, S.
PDF icon Error Prediction Based on Concurrent Self-Test and Reduced Slack Time [p. 1626]

F

Fakhar, F.
PDF icon A New Reconfigurable Clock-Gating Technique for Low Power SRAM-Based FPGAs [p. 752]
Falk, J.
PDF icon A Rule-Based Static Dataflow Clustering Algorithm for Efficient Embedded Software Synthesis [p. 521]
Fallica, P.G.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Fan, J.
PDF icon Low-cost Fault Detection Method for ECC Using Montgomery Powering Ladder [p. 1016]
Fang, S.-C.
PDF icon Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler [p. 637]
Fanucci, L.
PDF icon A Sensor Fusion Algorithm for an Integrated Angular Position Estimation with Inertial Measurement Units [p. 273]
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Favalli, M.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Fazeli, M.
PDF icon Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) [p. 70]
Ferent, C.
PDF icon A Symbolic Technique for Automated Characterization of the Uniqueness and Similarity of Analog Circuit Design Features [p. 1212]
Fernández Villena, J.
PDF icon Fast Statistical Analysis of RC Nets Subject to Manufacturing Variabilities [p. 32]
Ferrari, F.
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Ferreira, A.P.
PDF icon Impact of Process Variation on Endurance Algorithms for Wear-Prone Memories [p. 962]
Fettweis, G.
PDF icon Entering the Path towards Terabit/s Wireless Links [p. 431]
Fettweis, G.P.
PDF icon Guaranteed Service Virtual Channel Allocation in NoCs for Run-Time Task Scheduling [p. 419]
Ficarra, E.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Figueras, J.
PDF icon Robustness Analysis of 6T SRAMs in Memory Retention Mode under PVT Variations [p. 980]
Flament, F.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Flynn, D.
PDF icon Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode [p. 106]
PDF icon Correlating Models and Silicon for Improved Parametric Yield [p. 1159]
Fonseca da Mota, P.
PDF icon A True Power Detector for RF PA Built-In Calibration and Testing [p. 365]
Fourmigue, A.
PDF icon Multi-Granularity Thermal Evaluation of 3D MPSoC Architectures [p. 575]
Fournel, N.
PDF icon Speeding-up SIMD Instructions Dynamic Binary Translation in Embedded Processor Simulation [p. 277]
Franke, H.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Fu, C.-Y.
PDF icon A Shared-Variable-Based Synchronization Approach to Efficient Cache Coherence Simulation for Multi-Core Systems [p. 347]
Fukui, M.
PDF icon An Algorithm to Improve Accuracy of Criticality in Statistical Static Timing Analysis [p. 1529]
Funchal, G.
PDF icon jTLM: An Experimentation Framework for the Simulation of Transaction-Level Models of Systems-on-Chip [p. 1184]
Furber, S.
PDF icon Biologically-Inspired Massively-Parallel Architectures - Computing Beyond A Million Processors [p. 1]
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Furukawa, H.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]

G

Gangemi, G.
PDF icon Moving to Green ICT: From Stand-Alone Power-Aware IC Design to an Integrated Approach to Energy Efficient Design of Heterogeneous Electronic Systems [p. 1127]
Ganguly, A.
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Gao, J.
PDF icon Eliminating Data Invalidation in Debugging Multiple-Clock Chips [p. 691]
Garg, S.
PDF icon Statistical Thermal Evaluation and Mitigation Techniques for 3D Chip-Multiprocessors in the Presence of Process Variations [p. 383]
George, N.
PDF icon Reducing the Cost of Redundant Execution in Safety-Critical Systems Using Relaxed Dedication [p. 1249]
Gerding, M.
PDF icon Low Power Smart Industrial Control [p. 595]
German, R.
PDF icon Formal Specification and Systematic Model-Driven Testing of Embedded Automotive Systems [p. 118]
Gerstlauer, A.
PDF icon Host-Compiled Multicore RTOS Simulator for Embedded Real-Time Software Development [p. 222]
PDF icon Controlled Timing-Error Acceptance for Low Energy IDCT Design [p. 758]
Geuns, S.J.
PDF icon Parallelization of While Loops in Nested Loop Programs for Shared-Memory Multiprocessor Systems [p. 697]
Geuzebroek, J.
PDF icon SoC Infrastructures for Predictable System Integration [p. 857]
Ghasemazar, M.
PDF icon Variation Aware Dynamic Power Management for Chip Multiprocessor Architectures [p. 473]
Gherman, V.
PDF icon Error Prediction Based on Concurrent Self-Test and Reduced Slack Time [p. 1626]
Ghoreishizadeh, S.S.
PDF icon An Integrated Platform for Advanced Diagnostics [p. 1454]
Gielen, G.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]
PDF icon Global Optimization of Integrated Transformers for High Frequency Microwave Circuits Using a Gaussian Process Based Surrogate Model [p. 1101]
PDF icon Stochastic Circuit Reliability Analysis [p. 1285]
PDF icon Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation [p. 1474]
Gil, R.M.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Gilani, S.Z.
PDF icon Scratchpad Memory Optimizations for Digital Signal Processing Applications [p. 974]
Gili, X.
PDF icon An Efficient and Scalable STA Tool with Direct Path Estimation and Exhaustive Sensitization Vector Exploration for Optimal Delay Computation [p. 1602]
Girão, G.
PDF icon Improving the Efficiency of a Hardware Transactional Memory on an NoC-based MPSoC [p. 1168]
Girault, A.
PDF icon Pruning Infeasible Paths for Tight WCRT Analysis of Synchronous Programs [p. 204]
Gizopoulos, D.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Gobbato, L.
PDF icon A Parallel Hamiltonian Eigensolver for Passivity Characterization and Enforcement of Large Interconnect Macromodels [p. 26]
Gogniat, G.
PDF icon Dynamic Applications on Reconfigurable Systems: From UML Model Design to FPGAs Implementation [p. 1208]
Golani, P.
PDF icon An Area-Efficient Multi-Level Single-Track Pipeline Template [p. 1509]
Gomez, C.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Gomez, M.E.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Goossens, K.
PDF icon An FPGA Bridge Preserving Traffic Quality of Service for On-Chip Network-Based Systems [p. 425]
PDF icon Architectures and Modeling of Predictable Memory Controllers for Improved System Integration [p. 851]
PDF icon Optimal Scheduling of Switched FlexRay Networks [p. 926]
Goswami, D.
PDF icon Re-Engineering Cyber-Physical Control Applications for Hybrid Communication Protocols [p. 914]
Gowda, S.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Goyal, A.
PDF icon Efficient RC Power Grid Verification Using Node Elimination [p. 257]
Grammatikakis, M.D.
PDF icon System-Level Power Estimation Methodology Using Cycle- and Bit-Accurate TLM [p. 1125]
Graupner, A.
PDF icon Generator Based Approach for Analog Circuit and Layout Design and Optimization [p. 1675]
Gray, C.E.
PDF icon Two Methods for 24 Gbps Test Signal Synthesis [p. 579]
Grenier, A.
PDF icon NoC-MPU: A Secure Architecture for Flexible Co-Hosting on Shared Memory MPSoCs [p. 591]
Greuel, G.-M.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Grimm, C.
PDF icon Real-Time Wireless Communication in Automotive Applications [p. 1036]
PDF icon Wireless Communication and Energy Harvesting in Automobiles [p. 1042]
PDF icon Simulation Based Tuning of System Specification [p. 1273]
Grimm, H.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Grivet-Talocia, S.
PDF icon A Parallel Hamiltonian Eigensolver for Passivity Characterization and Enforcement of Large Interconnect Macromodels [p. 26]
Grosso, M.
PDF icon Fault Grading of Software-Based Self-Test Procedures for Dependable Automotive Applications [p. 513]
Guderian, F.
PDF icon Entering the Path towards Terabit/s Wireless Links [p. 431]
Guillet, S.
PDF icon Dynamic Applications on Reconfigurable Systems: From UML Model Design to FPGAs Implementation [p. 1208]
Guilley, S.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Guo, Q.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
Guo, X.
PDF icon Data-Oriented Performance Analysis of SHA-3 Candidates on FPGA Accelerated Computers [p. 1650]
Gupta, P.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
PDF icon On the Efficacy of NBTI Mitigation Techniques [p. 932]
PDF icon Case Study: Alleviating Hotspots and Improving Chip Reliability via Carbon Nanotube Thermal Interface [p. 1071]
Gupta, R.K.
PDF icon Understanding the Role of Buildings in a Smart Microgrid [p. 1224]
Gupta, S.
PDF icon Testing of High-Speed DACs Using PRBS Generation with "Alternate-Bit-Tapping" [p. 377]
Gupta, S.K.
PDF icon A New Circuit Simplification Method for Error Tolerant Applications [p. 1566]

H

Haase, J.
PDF icon Real-Time Wireless Communication in Automotive Applications [p. 1036]
PDF icon Simulation Based Tuning of System Specification [p. 1273]
Hamdioui, S.
PDF icon Cost-Efficient Fault-Tolerant Decoder for Hybrid Nanoelectronic Memories [p. 265]
Hameed, F.
PDF icon Dynamic Thermal Management in 3D Multi-Core Architecture through Run-Time Adaptation [p. 299]
Han, H.
PDF icon Clause Simplification through Dominator Analysis [p. 143]
Han, Y.
PDF icon Eliminating Data Invalidation in Debugging Multiple-Clock Chips [p. 691]
PDF icon FlexMemory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth [p. 968]
Hanumaiah, V.
PDF icon Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors [p. 137]
Hanyu, T.
PDF icon Interconnect-Fault-Resilient Delay-Insensitive Asynchronous Communication Link Based on Current-Flow Monitoring [p. 776]
Hari, S.K.S.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Haron, N.Z.
PDF icon Cost-Efficient Fault-Tolerant Decoder for Hybrid Nanoelectronic Memories [p. 265]
Hashempour, H.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Haubelt, C.
PDF icon A Rule-Based Static Dataflow Clustering Algorithm for Efficient Embedded Software Synthesis [p. 521]
Hausmans, J.P.H.M.
PDF icon Resynchronization of Cyclo-Static Dataflow Graphs [p. 1315]
Hayes, J.P.
PDF icon Trigonometric Method to Handle Realistic Error Probabilities in Logic Circuits [p. 64]
He, K.
PDF icon Controlled Timing-Error Acceptance for Low Energy IDCT Design [p. 758]
He, Y.
PDF icon Global Optimization of Integrated Transformers for High Frequency Microwave Circuits Using a Gaussian Process Based Surrogate Model [p. 1101]
Healy, M.B.
PDF icon A Novel TSV Topology for Many-Tier 3D Power-Delivery Networks [p. 261]
Heckeler, P.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
Hedrich, L.
PDF icon Automated Constraint-Driven Topology Synthesis for Analog Circuits [p. 1662]
Heidmann, N.
PDF icon Architecture and FPGA-Implementation of a High Throughput K+-Best Detector [p. 240]
Hemani, A.
PDF icon Predicting Bus Contention Effects on Energy and Performance in Multi-Processor SoCs [p. 1196]
Hendry, G.
PDF icon VANDAL: A Tool for the Design Specification of Nanophotonic Networks [p. 782]
Henkel, J.
PDF icon Dynamic Thermal Management in 3D Multi-Core Architecture through Run-Time Adaptation [p. 299]
PDF icon CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures [p. 515]
PDF icon Minority-Game-Based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors [p. 1261]
PDF icon Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding [p. 1448]
PDF icon mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions [p. 1554]
Henker, S.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Hennig, E.
PDF icon A New Method for Automated Generation of Compensation Networks - The EDA Designer Finger [p. 1666]
Herkersdorf, A.
PDF icon An Approach to Improve Accuracy of Source-Level TLMs of Embedded Software [p. 216]
Hermida, R.
PDF icon Power Optimization in Heterogenous Datapaths [p. 1400]
Herndl, T.
PDF icon Real-Time Wireless Communication in Automotive Applications [p. 1036]
Hielscher, K.-S.
PDF icon Formal Specification and Systematic Model-Driven Testing of Embedded Automotive Systems [p. 118]
Hill, S.
PDF icon Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode [p. 106]
Ho, Y.-L.
PDF icon Thermal-Aware On-Line Task Allocation for 3D Multi-Core Processor Throughput Optimization [p. 8]
Hoffmann, T.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Holcomb, D.
PDF icon Counterexample-Guided SMT-Driven Optimal Buffer Sizing [p. 329]
Homma, N.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Honkote, V.
PDF icon Steiner Tree Based Rotary Clock Routing with Bounded Skew and Capacitive Load Balancing [p. 455]
Höppner, S.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Hora, C.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Horiuchi, K.
PDF icon An LOCV-Based Static Timing Analysis Considering Spatial Correlations of Power Supply Variations [p. 559]
Horowitz, M.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Hou, R.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Hsiao, M.S.
PDF icon Design-for-Test Methodology for Non-Scan At-Speed Testing [p. 191]
Hsu, S.-J.
PDF icon Clock Gating Optimization with Delay-Matching [p. 643]
Hu, J.
PDF icon Towards Energy Efficient Hybrid On-Chip Scratch Pad Memory with Non-Volatile Memory [p. 746]
Hu, W.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
Hu, X.
PDF icon A Block-Diagonal Structured Model Reduction Scheme for Power Grid Networks [p. 44]
PDF icon A Cost-Effective Substantial-Impact-Filter Based Method to Tolerate Voltage Emergencies [p. 311]
Hu, Y.
PDF icon Cross-Layer Optimized Placement and Routing for FPGA Soft Error Mitigation [p. 58]
PDF icon A Cost-Effective Substantial-Impact-Filter Based Method to Tolerate Voltage Emergencies [p. 311]
PDF icon On Diagnosis of Multiple Faults Using Compressed Responses [p. 679]
Huang, C.-Y.
PDF icon Speeding up MPSoC Virtual Platform Simulation by Ultra Synchronization Checking Method [p. 353]
Huang, H.
PDF icon Leakage Aware Energy Minimization for Real-Time Systems under the Maximum Temperature Constraint [p. 479]
Huang, J.
PDF icon Case Study: Alleviating Hotspots and Improving Chip Reliability via Carbon Nanotube Thermal Interface [p. 1071]
PDF icon A Workflow for Runtime Adaptive Task Allocation on Heterogeneous MPSoCs [p. 1129]
Huang, J.-D.
PDF icon Architectural Exploration of 3D FPGAs towards a Better Balance between Area and Delay [p. 587]
Huang, K.
PDF icon Cross-Layer Optimized Placement and Routing for FPGA Soft Error Mitigation [p. 58]
Huang, L.
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Huang, Q.
PDF icon A Circuit Technology Platform for Medical Data Acquisition and Communication [p. 1472]
Huang, S.-Y.
PDF icon Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler [p. 637]
Hübner, M.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Hung, S.-H.
PDF icon Pipeline Schedule Synthesis for Real-Time Streaming Tasks with Inter/Intra-Instance Precedence Constraints [p. 1321]
Hungar, H.
PDF icon Using Contract-Based Component Specifications for Virtual Integration Testing and Architecture Design [p. 1023]
Hunter, A.
PDF icon Power Management Verification Experiences in Wireless SoCs [p. 507]
Hwang, T.T.
PDF icon A New Architecture for Power Network in 3D IC [p. 401]

I

Indrusiak, L.S.
PDF icon Fast and Accurate Transaction-Level Model of a Wormhole Network-on-Chip with Priority Preemptive Virtual Channel Arbitration [p. 1089]
Ingelsson, U.
PDF icon Design Automation for IEEE P1687 [p. 1412]
Ishihara, T.
PDF icon Developing an Integrated Verification and Debug Methodology [p. 503]

J

Jahn, J.
PDF icon CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures [p. 515]
Jancke, R.
PDF icon Generator Based Approach for Analog Circuit and Layout Design and Optimization [p. 1675]
Jerraya, A.
PDF icon A 3D Reconfigurable Platform for 4G Telecom Applications [p. 555]
Jezequel, M.
PDF icon A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding [p. 228]
PDF icon A Low Complexity Stopping Criterion for Reducing Power Consumption in Turbo Decoders [p. 649]
Jha, S.K.
PDF icon When to Stop Verification? Statistical Trade-Off between Expected Loss and Simulation Cost [p. 1309]
Ji, W.
PDF icon Floorplanning Exploration and Performance Evaluation of a New Network-on-Chip [p. 625]
Jin, H.
PDF icon Clause Simplification through Dominator Analysis [p. 143]
Johnson, C.L.
PDF icon Early Chip Planning Cockpit [p. 863]
Jores, P.
PDF icon Automated Constraint-Driven Topology Synthesis for Analog Circuits [p. 1662]
Joseph, R.
PDF icon Efficient Parameter Variation Sampling for Architecture Simulations [p. 1578]
Josko, B.
PDF icon Using Contract-Based Component Specifications for Virtual Integration Testing and Architecture Design [p. 1023]
Jouppi, N.P.
PDF icon Design Implications of Memristor-Based RRAM Cross-Point Structures [p. 734]
Juan, D.-C.
PDF icon Statistical Thermal Evaluation and Mitigation Techniques for 3D Chip-Multiprocessors in the Presence of Process Variations [p. 383]
Jung, H.
PDF icon A Quantitative Analysis of Performance Benefits of 3D Die Stacking on Mobile and Embedded SoC [p. 1333]
Jung, J.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]

K

Kajihara, S.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]
Kajitani, Y.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Kakoee, M.R.
PDF icon A Fully-Synthesizable Single-Cycle Interconnection Network for Shared-L1 Processor Clusters [p. 491]
PDF icon ReliNoC: A Reliable Network for Priority-Based On-Chip Communication [p. 667]
Kamal, M.
PDF icon Timing Variation-Aware Custom Instruction Extension Technique [p. 1517]
Kaminska, B.
PDF icon 2D and 3D Integration with Organic and Silicon Electronics [p. 899]
Kang, K.
PDF icon Scalable Packet Classification via GPU Metaprogramming [p. 871]
Kanoun, K.
PDF icon A Real-Time Compressed Sensing-Based Personal Electrocardiogram Monitoring System [p. 824]
Kapoor, B.
PDF icon Power Management Verification Experiences in Wireless SoCs [p. 507]
Karaklajic, D.
PDF icon Low-cost Fault Detection Method for ECC Using Montgomery Powering Ladder [p. 1016]
Katevenis, M.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Kauppinen, H.
PDF icon Wireless Innovations for Smartphones [p. 606]
Kavadias, S.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Kazmierski, T.
PDF icon Wireless Communication and Energy Harvesting in Automobiles [p. 1042]
Kazmierski, T.J.
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
PDF icon An Extension to SystemC-A to Support Mixed-Technology Systems with Distributed Components [p. 1279]
Keezer, D.C.
PDF icon Two Methods for 24 Gbps Test Signal Synthesis [p. 579]
Keller, M.
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Kelley, K.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Keng, B.
PDF icon Automated Debugging of SystemVerilog Assertions [p. 323]
Kern, A.
PDF icon An Automated Data Structure Migration Concept - From CAN to Ethernet/IP in Automotive Embedded Systems (CANoverIP) [p. 112]
Kershaw, D.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
Kestur, S.
PDF icon SHARC: A Streaming Model for FPGA Accelerators and its Application to Saliency [p. 1237]
Keszöcze, O.
PDF icon Determining the Minimal Number of Lines for Large Reversible Circuits [p. 1204]
Khaled, N.
PDF icon A Real-Time Compressed Sensing-Based Personal Electrocardiogram Monitoring System [p. 824]
Khan, G.N.
PDF icon Multi-Objective Tabu Search Based Topology Generation Technique for Application-Specific Network-on-Chip Architectures [p. 485]
Khatib, M.G.
PDF icon Buffering Implications for the Design Space of Streaming MEMS Storage [p. 253]
Kim, D.
PDF icon A New Distributed Event-Driven Gate-Level HDL Simulation by Accurate Prediction [p. 547]
PDF icon A Quantitative Analysis of Performance Benefits of 3D Die Stacking on Mobile and Embedded SoC [p. 1333]
PDF icon Temporal Parallel Simulation: A Fast Gate-Level HDL Simulation Using Higher Level Models [p. 1584]
Kim, N.S.
PDF icon Time Redundant Parity for Low-Cost Transient Error Detection [p. 52]
PDF icon Scratchpad Memory Optimizations for Digital Signal Processing Applications [p. 974]
Kim, Y.
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Klobedanz, K.
PDF icon A Reconfiguration Approach for Fault-Tolerant FlexRay Networks [p. 82]
Knoll, A.
PDF icon A Workflow for Runtime Adaptive Task Allocation on Heterogeneous MPSoCs [p. 1129]
PDF icon Priority Division: A High-Speed Shared-Memory Bus Arbitration with Bounded Latency [p. 1497]
Kobayashi, S.
PDF icon An LOCV-Based Static Timing Analysis Considering Spatial Correlations of Power Supply Variations [p. 559]
Koch, A.
PDF icon MARC II: A Parametrized Speculative Multi-Ported Memory Subsystem for Reconfigurable Computers [p. 1352]
Kochte, M.A.
PDF icon SAT-Based Fault Coverage Evaluation in the Presence of Unknown Values [p. 1303]
Koenig, A.
PDF icon A Reconfiguration Approach for Fault-Tolerant FlexRay Networks [p. 82]
Kolpe, T.
PDF icon Enabling Improved Power Management in Multicore Processors through Clustered DVFS [p. 293]
Kondratyev, A.
PDF icon Realistic Performance-Constrained Pipelining in High-Level Synthesis [p. 1382]
Kong, F.
PDF icon Energy-Efficient Scheduling of Real-Time Tasks on Cluster-Based Multicores [p. 1135]
Koushanfar, F.
PDF icon HypoEnergy: Hybrid Supercapacitor-Battery Power-Supply Optimization for Energy Efficiency [p. 887]
Kozhikkottu, V.
PDF icon VESPA: Variability Emulation for System-on-Chip Performance Analysis [p. 2]
Krause, P.K.
PDF icon Adaptive Voltage Over-Scaling for Resilient Applications [p. 944]
Krausse, D.
PDF icon A New Method for Automated Generation of Compensation Networks - The EDA Designer Finger [p. 1666]
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Krishna, A.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Krone, S.
PDF icon Entering the Path towards Terabit/s Wireless Links [p. 431]
Kropf, T.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
Kruseman, B.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Kuan, C.-Y.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Kudari, A.D.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Kuehlmann, A.
PDF icon An Approach for Dynamic Selection of Synthesis Transformations Based on Markov Decision Processes [p. 1533]
Kumar, A.
PDF icon Hyper-Graph Based Partitioning to Reduce DFT Cost for Pre-Bond 3D-IC Testing [p. 1424]
Kumar, R.
PDF icon On the Efficacy of NBTI Mitigation Techniques [p. 932]
Kundu, S.
PDF icon On Design of Test Structures for Lithographic Process Corner Identification [p. 800]
PDF icon Modeling Manufacturing Process Variation for Design and Test [p. 1147]
PDF icon On Testing Prebond Dies with Incomplete Clock Networks in a 3D IC Using DLLs [p. 1418]
PDF icon Physically Unclonable Functions for Embedded Security Based on Lithographic Variation [p. 1632]
Kunz, L.
PDF icon Improving the Efficiency of a Hardware Transactional Memory on an NoC-based MPSoC [p. 1168]
Kunz, W.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Kuo, S.-Y.
PDF icon Formal Reset Recovery Slack Calculation at the Register Transfer Level [p. 571]
Kupp, N.
PDF icon Correlating Inline Data with Final Test Outcomes in Analog/RF Devices [p. 812]
Kwai, D.-M.
PDF icon Thermal-Aware On-Line Task Allocation for 3D Multi-Core Processor Throughput Optimization [p. 8]
Kyrkou, C.
PDF icon Depth-Directed Hardware Object Detection [p. 1442]

L

Lach, J.
PDF icon Reducing the Cost of Redundant Execution in Safety-Critical Systems Using Relaxed Dedication [p. 1249]
Lafi, W.
PDF icon A 3D Reconfigurable Platform for 4G Telecom Applications [p. 555]
PDF icon 3D Embedded Multi-Core: Some Perspectives [p. 1327]
Lampka, K.
PDF icon Composing Heterogeneous Components for System-Wide Performance Analysis [p. 842]
Landa, S.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Landrock, C.K.
PDF icon 2D and 3D Integration with Organic and Silicon Electronics [p. 899]
Lange, H.
PDF icon MARC II: A Parametrized Speculative Multi-Ported Memory Subsystem for Reconfigurable Computers [p. 1352]
Langmead, C.J.
PDF icon When to Stop Verification? Statistical Trade-Off between Expected Loss and Simulation Cost [p. 1309]
Larsson, E.
PDF icon Design Automation for IEEE P1687 [p. 1412]
Lattard, D.
PDF icon A 3D Reconfigurable Platform for 4G Telecom Applications [p. 555]
Lavagno, L.
PDF icon Realistic Performance-Constrained Pipelining in High-Level Synthesis [p. 1382]
Le Beux, S.
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
Leblebici, Y.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Lee, B.-C.
PDF icon Architectural Exploration of 3D FPGAs towards a Better Balance between Area and Delay [p. 587]
Lee, E.-A.
PDF icon Component-Based Design for the Future [p. 1029]
Lee, J.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
Lee, R.-J.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Lee, S.
PDF icon A Novel Tag Access Scheme for Low Power L2 Cache [p. 655]
PDF icon A Quantitative Analysis of Performance Benefits of 3D Die Stacking on Mobile and Embedded SoC [p. 1333]
Lee, T.-H.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Lee, Y.-H.
PDF icon Timing Error Statistics for Energy-Efficient Robust DSP Systems [p. 285]
Lettnin, D.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
Leupers, R.
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Li, D.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Li, H.
PDF icon 3D-ICML: A 3D Bipolar ReRAM Design with Interleaved Complementary Memory Layers [p. 583]
PDF icon FlexMemory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth [p. 968]
Li, L.
PDF icon Proactive Recovery for BTI in High-K SRAM Cells [p. 992]
Li, M.
PDF icon Register Allocation for Simultaneous Reduction of Energy and Peak Temperature on Registers [p. 20]
PDF icon Challenges in Designing High Speed Memory Subsystem for Mobile Applications [p. 509]
Li, X.
PDF icon Cross-Layer Optimized Placement and Routing for FPGA Soft Error Mitigation [p. 58]
PDF icon A Cost-Effective Substantial-Impact-Filter Based Method to Tolerate Voltage Emergencies [p. 311]
PDF icon On Diagnosis of Multiple Faults Using Compressed Responses [p. 679]
PDF icon Eliminating Data Invalidation in Debugging Multiple-Clock Chips [p. 691]
PDF icon FlexMemory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth [p. 968]
Li, Y.
PDF icon Redressing Timing Issues for Speed-Independent Circuits in Deep Submicron Age [p. 1376]
Lim, S.K.
PDF icon A Novel TSV Topology for Many-Tier 3D Power-Delivery Networks [p. 261]
Lin, H.-C.
PDF icon Speeding up MPSoC Virtual Platform Simulation by Ultra Synchronization Checking Method [p. 353]
Lin, H.-L.
PDF icon A New Architecture for Power Network in 3D IC [p. 401]
Lin, R.-B.
PDF icon Clock Gating Optimization with Delay-Matching [p. 643]
Linderoth, J.T.
PDF icon Power-Driven Global Routing for Multi-Supply Voltage Domains [p. 443]
Lindwer, M.
PDF icon A 0.964mW Digital Hearing Aid System [p. 883]
Lingamneni, A.
PDF icon Energy Parsimonious Circuit Design through Probabilistic Pruning [p. 764]
Lipasti, M.H.
PDF icon Time Redundant Parity for Low-Cost Transient Error Detection [p. 52]
Liu, B.
PDF icon Global Optimization of Integrated Transformers for High Frequency Microwave Circuits Using a Gaussian Process Based Surrogate Model [p. 1101]
Liu, C.
PDF icon A Clock-Gating Based Capture Power Droop Reduction Methodology for At-Speed Scan Testing [p. 197]
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Liu, D.
PDF icon An Endurance-Enhanced Flash Translation Layer via Reuse for NAND Flash Memory Storage Systems [p. 14]
Liu, F.
PDF icon An Efficient Mask Optimization Method Based on Homotopy Continuation Technique [p. 1053]
Liu, L.
PDF icon Towards Coverage Closure: Using Goldmine Assertions for Generating Design Validation Stimulus [p. 173]
PDF icon Efficient Validation Input Generation in RTL by Hybridized Source Code Analysis [p. 1596]
Liu, M.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Liu, S.
PDF icon Efficient Parameter Variation Sampling for Architecture Simulations [p. 1578]
Liu, T.
PDF icon Register Allocation for Simultaneous Reduction of Energy and Peak Temperature on Registers [p. 20]
Liu, X.
PDF icon On Multiplexed Signal Tracing for Post-Silicon Debug [p. 685]
Liu, Z.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Lo, C.-K.
PDF icon Cycle-Count-Accurate Processor Modeling for Fast and Accurate System-Level Simulation [p. 341]
Loghi, M.
PDF icon Partitioned Cache Architectures for Reduced NBTI-Induced Aging [p. 938]
Loi, I.
PDF icon Design Space Exploration for 3D-Stacked DRAMs [p. 389]
PDF icon A Fully-Synthesizable Single-Cycle Interconnection Network for Shared-L1 Processor Clusters [p. 491]
López, C.M.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]
Lu, C.
PDF icon Stage Number Optimization for Switched Capacitor Power Converters in Micro-Scale Energy Harvesting [p. 770]
Lu, F.
PDF icon Efficient Parameter Variation Sampling for Architecture Simulations [p. 1578]
Lu, H.
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Lu, J.
PDF icon Steiner Tree Based Rotary Clock Routing with Bounded Skew and Capacitive Load Balancing [p. 455]
Lu, K.
PDF icon An Approach to Improve Accuracy of Source-Level TLMs of Embedded Software [p. 216]
Lu, S.-L.
PDF icon Distributed Hardware Matcher Framework for SoC Survivability [p. 305]
Lubaszewski, M.S.
PDF icon Evaluating Energy Consumption of Homogeneous MPSoCs Using Spare Tiles [p. 1164]
Ludovici, D.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Luk, W.-S.
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Lukasiewycz, M.
PDF icon FlexRay Switch Scheduling - A Networking Concept for Electric Vehicles [p. 76]
Lung, C.-L.
PDF icon Thermal-Aware On-Line Task Allocation for 3D Multi-Core Processor Throughput Optimization [p. 8]
Luo, G.
PDF icon Early Chip Planning Cockpit [p. 863]
Luo, H.
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]

M

Ma, K.
PDF icon LOEDAR: A Low Cost Error Detection and Recovery Scheme for ECC [p. 1010]
Ma, Y.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Machado da Silva, J.
PDF icon A True Power Detector for RF PA Built-In Calibration and Testing [p. 365]
Macii, A.
PDF icon Moving to Green ICT: From Stand-Alone Power-Aware IC Design to an Integrated Approach to Energy Efficient Design of Heterogeneous Electronic Systems [p. 1127]
Macii, E.
PDF icon Partitioned Cache Architectures for Reduced NBTI-Induced Aging [p. 938]
Mahlknecht, S.
PDF icon Wireless Communication and Energy Harvesting in Automobiles [p. 1042]
Mailly, F.
PDF icon An Electrical Test Method for MEMS Convective Accelerometers: Development and Evaluation [p. 806]
Mak, T.
PDF icon Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks [p. 497]
PDF icon Redressing Timing Issues for Speed-Independent Circuits in Deep Submicron Age [p. 1376]
Makris, Y.
PDF icon Correlating Inline Data with Final Test Outcomes in Analog/RF Devices [p. 812]
Mamaghanian, H.
PDF icon A Real-Time Compressed Sensing-Based Personal Electrocardiogram Monitoring System [p. 824]
Mangard, S.
PDF icon Arithmetic Logic Units with High Error Detection Rates to Counteract Fault Attacks [p. 1644]
Manian, S.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Mansouri, I.
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Marcon, C.A.M.
PDF icon Evaluating Energy Consumption of Homogeneous MPSoCs Using Spare Tiles [p. 1164]
Marculescu, D.
PDF icon Statistical Thermal Evaluation and Mitigation Techniques for 3D Chip-Multiprocessors in the Presence of Process Variations [p. 383]
PDF icon Aging-Aware Timing Analysis and Optimization Considering Path Sensitization [p. 1572]
Marculescu, R.
PDF icon FARM: Fault-Aware Resource Management in NoC-Based Multiprocessor Platforms [p. 673]
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Maricau, E.
PDF icon Stochastic Circuit Reliability Analysis [p. 1285]
PDF icon Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation [p. 1474]
Mark, M.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Martin, G.
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Massas, J.
PDF icon Error Prediction Based on Concurrent Self-Test and Reduced Slack Time [p. 1626]
Matischek, R.
PDF icon Real-Time Wireless Communication in Automotive Applications [p. 1036]
Matos, D.
PDF icon A New Reconfigurable Clock-Gating Technique for Low Power SRAM-Based FPGAs [p. 752]
Matsuda, A.
PDF icon Developing an Integrated Verification and Debug Methodology [p. 503]
Matsumoto, A.
PDF icon Interconnect-Fault-Resilient Delay-Insensitive Asynchronous Communication Link Based on Current-Flow Monitoring [p. 776]
Mazzillo, M.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Medwed, M.
PDF icon Arithmetic Logic Units with High Error Detection Rates to Counteract Fault Attacks [p. 1644]
Mehregany, M.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Meissner, C.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Meissner, M.
PDF icon Automated Constraint-Driven Topology Synthesis for Analog Circuits [p. 1662]
Meixner, A.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Melhem, R.
PDF icon Impact of Process Variation on Endurance Algorithms for Wear-Prone Memories [p. 962]
Memik, S.O.
PDF icon Power Optimization in Heterogenous Datapaths [p. 1400]
Mendias, J.M.
PDF icon Power Optimization in Heterogenous Datapaths [p. 1400]
Mercha, A.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Merrett, G.V.
PDF icon Ultra Low-Power Photovoltaic MPPT Technique for Indoor and Outdoor Wireless Sensor Nodes [p. 905]
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
Merrett, M.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Messina, A.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Metra, C.
PDF icon Error Correcting Code Analysis for Cache Memory High Reliability and Performance [p. 1620]
Meyer, B.H.
PDF icon Reducing the Cost of Redundant Execution in Safety-Critical Systems Using Relaxed Dedication [p. 1249]
Meyer, J.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Meyer, M.
PDF icon Realistic Performance-Constrained Pipelining in High-Level Synthesis [p. 1382]
Meynard, O.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Michel, B.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Michel, L.
PDF icon Speeding-up SIMD Instructions Dynamic Binary Translation in Embedded Processor Simulation [p. 277]
Milbredt, P.
PDF icon FlexRay Switch Scheduling - A Networking Concept for Electric Vehicles [p. 76]
Millar, C.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Milojevic, D.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Mina, R.
PDF icon Mathematical Approach Based on a "Design of Experiment" to Simulate Process Variations [p. 1486]
Miranda, M.
PDF icon Variability Aware Modeling for Yield Enhancement of SRAM and Logic [p. 1153]
Miremadi, S.G.
PDF icon Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) [p. 70]
PDF icon ScTMR: A Scan Chain-Based Error Recovery Technique for TMR Systems in Safety-Critical Applications [p. 289]
Mirhoseini, A.
PDF icon HypoEnergy: Hybrid Supercapacitor-Battery Power-Supply Optimization for Energy Efficiency [p. 887]
Mishra, P.
PDF icon Decision Ordering Based Property Decomposition for Functional Test Generation [p. 167]
Mistry, J.N.
PDF icon Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode [p. 106]
Mitea, O.
PDF icon Automated Constraint-Driven Topology Synthesis for Analog Circuits [p. 1662]
Mittal, R.K.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Miyase, K.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]
Modarressi, M.
PDF icon Supporting Non-Contiguous Processor Allocation in Mesh-Based CMPs Using Virtual Point-to-Point Links [p. 413]
Moezzi-Madani, N.
PDF icon An Energy-Efficient 64-QAM MIMO Detector for Emerging Wireless Standards [p. 246]
Mohalik, S.
PDF icon When to Stop Verification? Statistical Trade-Off between Expected Loss and Simulation Cost [p. 1309]
Mohanram, K.
PDF icon Robust 6T Si Tunneling Transistor SRAM Design [p. 740]
PDF icon Reliability-driven Don't Care Assignment for Logic Synthesis [p. 1560]
Mohapatra, D.
PDF icon Design of Voltage-Scalable Meta Functions for Approximate Computing [p. 950]
Molina, M.C.
PDF icon Power Optimization in Heterogenous Datapaths [p. 1400]
Montag, P.
PDF icon Precise WCET Calculation in Highly Variant Real-Time Systems [p. 920]
Moraes, F.G.
PDF icon Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level [p. 407]
PDF icon Evaluating Energy Consumption of Homogeneous MPSoCs Using Spare Tiles [p. 1164]
Moroz, V.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
Mossé, D.
PDF icon Impact of Process Variation on Endurance Algorithms for Wear-Prone Memories [p. 962]
Moy, M.
PDF icon jTLM: An Experimentation Framework for the Simulation of Transaction-Level Models of Systems-on-Chip [p. 1184]
Mu, S.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Mudge, T.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
Mueller, W.
PDF icon A Reconfiguration Approach for Fault-Tolerant FlexRay Networks [p. 82]
Mukhopadhyay, D.
PDF icon Multi-Level Attacks: An Emerging Security Concern for Cryptographic Hardware [p. 1176]
PDF icon Theoretical Modeling of the Itoh-Tsujii Inversion Algorithm for Enhanced Performance on k-LUT Based FPGAs [p. 1231]
Murugappa, P.
PDF icon A Flexible High Throughput Multi-ASIP Architecture for LDPC and Turbo Decoding [p. 228]
Musa, S.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]

N

Nacci, A.A.
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
Nadeem, M.
PDF icon Targeting Code Diversity with Run-Time Adjustable Issue-Slots in a Chip Multiprocessor [p. 1358]
Naeimi, H.
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Nagel, J.-L.
PDF icon Energy Parsimonious Circuit Design through Probabilistic Pruning [p. 764]
Nahir, A.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Najm, F.N.
PDF icon Efficient RC Power Grid Verification Using Node Elimination [p. 257]
Nalam, S.
PDF icon Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs [p. 467]
Narasimhan, S.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Narayanan, P.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Narayanan, R.
PDF icon Ensuring Correctness of Analog Circuits in Presence of Noise and Process Variations Using Pattern Matching [p. 1188]
Narayanan, V.
PDF icon SHARC: A Streaming Model for FPGA Accelerators and its Application to Saliency [p. 1237]
Narayanasamy, S.
PDF icon MLP Aware Heterogeneous Memory System [p. 956]
Nelms, T.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Nercessian, E.
PDF icon Mathematical Approach Based on a "Design of Experiment" to Simulate Process Variations [p. 1486]
Neuendorffer, S.
PDF icon Building Real-time HDTV Applications in FPGAs Using Processors, AXI Interfaces and High Level Synthesis Tools [p. 848]
Nicolaidis, M.
PDF icon A fault-Tolerant Deadlock-Free Adaptive Routing for On Chip Interconnects [p. 909]
PDF icon Eliminating Speed Penalty in ECC Protected Memories [p. 1614]
Nicolescu, G.
PDF icon Multi-Granularity Thermal Evaluation of 3D MPSoC Architectures [p. 575]
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
PDF icon A Multi-Objective Decision-Theoretic Exploration Algorithm for Platform-based Design [p. 1192]
Nigam, A.
PDF icon Pseudo Circuit Model for Representing Uncertainty in Waveforms [p. 1521]
Nikiforos, G.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Nikolopoulos, D.S.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Niu, L.
PDF icon System-Level Energy-Efficient Scheduling for Hard Real-Time Embedded Systems [p. 281]
Nocco, S.
PDF icon Interpolation Sequences Revisited [p. 317]
PDF icon Optimized Model Checking of Multiple Properties [p. 543]
Noguera, J.
PDF icon Building Real-time HDTV Applications in FPGAs Using Processors, AXI Interfaces and High Level Synthesis Tools [p. 848]
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Nouet, P.
PDF icon An Electrical Test Method for MEMS Convective Accelerometers: Development and Evaluation [p. 806]
Nowak, J.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Nowick, S.M.
PDF icon A Delay-Insensitive Bus-Invert Code and Hardware Support for Robust Asynchronous Global Communication [p. 1370]

O

O'Connor, I.
PDF icon Multi-Granularity Thermal Evaluation of 3D MPSoC Architectures [p. 575]
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
Omrane, B.
PDF icon 2D and 3D Integration with Organic and Silicon Electronics [p. 899]
Onizawa, N.
PDF icon Interconnect-Fault-Resilient Delay-Insensitive Asynchronous Communication Link Based on Current-Flow Monitoring [p. 776]
Orailoglu, A.
PDF icon Register Allocation for Simultaneous Reduction of Energy and Peak Temperature on Registers [p. 20]
PDF icon Diagnosing Scan Chain Timing Faults through Statistical Feature Analysis of Scan Images [p. 185]
PDF icon Frugal but Flexible Multicore Topologies in Support of Resource Variation-Driven Adaptivity [p. 1255]
PDF icon Adaptive Test Optimization through Real Time Learning of Test Effectiveness [p. 1430]
Orshansky, M.
PDF icon Controlled Timing-Error Acceptance for Low Energy IDCT Design [p. 758]
Ost, L.C.
PDF icon Evaluating Energy Consumption of Homogeneous MPSoCs Using Spare Tiles [p. 1164]
Ottavi, M.
PDF icon Feedback Based Droop Mitigation [p. 879]
Ottella, M.
PDF icon System Level Techniques to Improve Reliability in High Power Microcontrollers for Automotive Applications [p. 1123]

P

Paek, Y.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
Palem, K.
PDF icon Energy Parsimonious Circuit Design through Probabilistic Pruning [p. 764]
Palesi, M.
PDF icon Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks [p. 497]
Palframan, D.J.
PDF icon Time Redundant Parity for Low-Cost Transient Error Detection [p. 52]
Pan, S.
PDF icon A Cost-Effective Substantial-Impact-Filter Based Method to Tolerate Voltage Emergencies [p. 311]
Pande, P.
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]
Pangrle, B.
PDF icon Beyond UPF & CPF: Low-Power Design and Verification [p. 252]
Papa, C.
PDF icon Smart Systems at ST [p. 1230]
Papadas, C.
PDF icon System-Level Power Estimation Methodology Using Cycle- and Bit-Accurate TLM [p. 1125]
Papaefstathiou, I.
PDF icon Parallel Accelerators for GlimmerHMM Bioinformatics Algorithm [p. 94]
Papaefstathiou, V.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Papariello, F.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
Park, H.
PDF icon A Novel Tag Access Scheme for Low Power L2 Cache [p. 655]
Park, S.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
Park, S.P.
PDF icon Stage Number Optimization for Switched Capacitor Power Converters in Micro-Scale Energy Harvesting [p. 770]
Parthasarathy, H.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Pasetti, G.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Patel, H.D.
PDF icon Abstract State Machines as an Intermediate Representation for High-Level Synthesis [p. 1406]
Paterna, F.
PDF icon An Efficient On-Line Task Allocation Algorithm for QoS and Energy Efficiency in Multicore Multimedia Platforms [p. 100]
Paul, S.
PDF icon Architecture and FPGA-Implementation of a High Throughput K+-Best Detector [p. 240]
Paulin, P.
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
Pavlenko, E.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Pavlidis, V.F.
PDF icon Analytical Heat Transfer Model for Thermal Through-Silicon Vias [p. 395]
Pedram, M.
PDF icon Variation Aware Dynamic Power Management for Chip Multiprocessor Architectures [p. 473]
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
PDF icon Timing Variation-Aware Custom Instruction Extension Technique [p. 1517]
Peikenkamp, T.
PDF icon Using Contract-Based Component Specifications for Virtual Integration Testing and Architecture Design [p. 1023]
Peizerat, A.
PDF icon Smart Imagers of the Future [p. 437]
Penolazzi, S.
PDF icon Predicting Bus Contention Effects on Energy and Performance in Multi-Processor SoCs [p. 1196]
Perathoner, S.
PDF icon Composing Heterogeneous Components for System-Wide Performance Analysis [p. 842]
Perini, F.
PDF icon An Efficient Quantum-Dot Cellular Automata Adder [p. 1220]
Pétrot, F.
PDF icon Speeding-up SIMD Instructions Dynamic Binary Translation in Embedded Processor Simulation [p. 277]
Phadke, S.
PDF icon MLP Aware Heterogeneous Memory System [p. 956]
Pichot, V.
PDF icon Low Power Smart Industrial Control [p. 595]
Pigorsch, F.
PDF icon Integration of Orthogonal QBF Solving Techniques [p. 149]
Piguet, C.
PDF icon Energy Parsimonious Circuit Design through Probabilistic Pruning [p. 764]
Pino, R.E.
PDF icon 3D-ICML: A 3D Bipolar ReRAM Design with Interleaved Complementary Memory Layers [p. 583]
Polarouthu, S.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Polian, I.
PDF icon Adaptive Voltage Over-Scaling for Resilient Applications [p. 944]
Politis, S.
PDF icon System-Level Power Estimation Methodology Using Cycle- and Bit-Accurate TLM [p. 1125]
Pomeranz, I.
PDF icon Built-In Generation of Functional Broadside Tests [p. 1297]
PDF icon Hyper-Graph Based Partitioning to Reduce DFT Cost for Pre-Bond 3D-IC Testing [p. 1424]
Poncino, M.
PDF icon Partitioned Cache Architectures for Reduced NBTI-Induced Aging [p. 938]
PDF icon System Level Techniques to Improve Reliability in High Power Microcontrollers for Automotive Applications [p. 1123]
PDF icon Moving to Green ICT: From Stand-Alone Power-Aware IC Design to an Integrated Approach to Energy Efficient Design of Heterogeneous Electronic Systems [p. 1127]
Pontarelli, S.
PDF icon Feedback Based Droop Mitigation [p. 879]
Porquet, J.
PDF icon NoC-MPU: A Secure Architecture for Flexible Co-Hosting on Shared Memory MPSoCs [p. 591]
Pozzi, L.
PDF icon Slack-Aware Scheduling on Coarse Grained Reconfigurable Arrays [p. 1513]
Pribyl, W.
PDF icon A Method for Fast Jitter Tolerance Analysis of High-Speed PLLs [p. 1107]
Psarakis, M.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Puers, R.
PDF icon Systematic Design of a Programmable Low-Noise CMOS Neural Interface for Cell Activity Recording [p. 818]
Puschini, D.
PDF icon Sustainability through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms? [p. 1656]

Q

Qiao, P.
PDF icon A 0.964mW Digital Hearing Aid System [p. 883]
Qin, Z.
PDF icon An Endurance-Enhanced Flash Translation Layer via Reuse for NAND Flash Memory Storage Systems [p. 14]
Quan, G.
PDF icon Leakage Aware Energy Minimization for Real-Time Systems under the Maximum Temperature Constraint [p. 479]
Quer, S.
PDF icon Interpolation Sequences Revisited [p. 317]

R

Raabe, A.
PDF icon A Workflow for Runtime Adaptive Task Allocation on Heterogeneous MPSoCs [p. 1129]
PDF icon Priority Division: A High-Speed Shared-Memory Bus Arbitration with Bounded Latency [p. 1497]
Rabaey, J.M.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Raghunathan, A.
PDF icon VESPA: Variability Emulation for System-on-Chip Performance Analysis [p. 2]
PDF icon Design of Voltage-Scalable Meta Functions for Approximate Computing [p. 950]
Raghunathan, V.
PDF icon Stage Number Optimization for Switched Capacitor Power Converters in Micro-Scale Energy Harvesting [p. 770]
Rahagude, N.
PDF icon Design-for-Test Methodology for Non-Scan At-Speed Testing [p. 191]
Rahimi, A.
PDF icon A Fully-Synthesizable Single-Cycle Interconnection Network for Shared-L1 Processor Clusters [p. 491]
Rahman, M.
PDF icon Power Reduction via Near-Optimal Library-Based Cell-Size Selection [p. 867]
Rai, D.
PDF icon Worst-Case Temperature Analysis for Real-Time Systems [p. 631]
Rajgopal, S.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Ramachandran, P.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Ramesh, S.
PDF icon When to Stop Verification? Statistical Trade-Off between Expected Loss and Simulation Cost [p. 1309]
Rana, V.
PDF icon An Efficient Quantum-Dot Cellular Automata Adder [p. 1220]
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
Ranganathan, N.
PDF icon A New Reversible Design of BCD Adder [p. 1180]
Razaghi, P.
PDF icon Host-Compiled Multicore RTOS Simulator for Embedded Real-Time Software Development [p. 222]
Réal, D.
PDF icon Enhancement of Simple Electro-Magnetic Attacks by Pre-Characterization in Frequency Domain and Demodulation Techniques [p. 1004]
Rebeiro, C.
PDF icon Theoretical Modeling of the Itoh-Tsujii Inversion Algorithm for Enhanced Performance on k-LUT Based FPGAs [p. 1231]
Reddy, P.
PDF icon A Low Complexity Stopping Criterion for Reducing Power Consumption in Turbo Decoders [p. 649]
Reddy, S.M.
PDF icon Hyper-Graph Based Partitioning to Reduce DFT Cost for Pre-Bond 3D-IC Testing [p. 1424]
Reid, D.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Reimer, S.
PDF icon Integration of Orthogonal QBF Solving Techniques [p. 149]
Rekik, A.A.
PDF icon An Electrical Test Method for MEMS Convective Accelerometers: Development and Evaluation [p. 806]
Rémond, E.
PDF icon Mathematical Approach Based on a "Design of Experiment" to Simulate Process Variations [p. 1486]
Reynaert, P.
PDF icon Global Optimization of Integrated Transformers for High Frequency Microwave Circuits Using a Gaussian Process Based Surrogate Model [p. 1101]
Richardson, S.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Rinaudo, S.
PDF icon Moving to Green ICT: From Stand-Alone Power-Aware IC Design to an Integrated Approach to Energy Efficient Design of Heterogeneous Electronic Systems [p. 1127]
Rocchi, A.
PDF icon A Sensor Fusion Algorithm for an Integrated Angular Position Estimation with Inertial Measurement Units [p. 273]
Romeo, M.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Roop, P.S.
PDF icon Pruning Infeasible Paths for Tight WCRT Analysis of Synchronous Programs [p. 204]
Rosenstiel, W.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
PDF icon Fast and Accurate Resource Conflict Simulation for Performance Analysis of Multi-Core Systems [p. 210]
Rossi, D.
PDF icon Error Correcting Code Analysis for Cache Memory High Reliability and Performance [p. 1620]
Roussel, P.
PDF icon Variability Aware Modeling for Yield Enhancement of SRAM and Logic [p. 1153]
Roy, K.
PDF icon Stage Number Optimization for Switched Capacitor Power Converters in Micro-Scale Energy Harvesting [p. 770]
PDF icon Design of Voltage-Scalable Meta Functions for Approximate Computing [p. 950]
Roy, S.
PDF icon Topologically Homogeneous Power-Performance Heterogeneous Multicore Systems [p. 125]
PDF icon Waste-Aware Dilution and Mixing of Biochemical Samples with Digital Microfluidic Biochips [p. 1059]
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Roy, S.S.
PDF icon Theoretical Modeling of the Itoh-Tsujii Inversion Algorithm for Enhanced Performance on k-LUT Based FPGAs [p. 1231]
Roychowdhury, J.
PDF icon SAMURAI: An Accurate Method for Modeling and Simulating Non-Stationary Random Telegraph Noise in SRAMs [p. 1113]
Ruf, J.
PDF icon Scalable Hybrid Verification for Embedded Software [p. 179]
Ruggeri, M.
PDF icon An Effective Multi-Source Energy Harvester for Low Power Applications [p. 836]

S

Sabatelli, S.
PDF icon A Sensor Fusion Algorithm for an Integrated Angular Position Estimation with Inertial Measurement Units [p. 273]
Sabatini, M.
PDF icon Energy Analysis Methods and Tools For Modelling and Optimizing Tyre Systems [p. 1121]
Sabbarwal, P.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Sabry, M.M.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Safar, M.
PDF icon A Reconfigurable, Pipelined, Conflict Directed Jumping Search SAT Solver [p. 1243]
Safarpour, S.
PDF icon Automated Debugging of SystemVerilog Assertions [p. 323]
Sakare, M.
PDF icon Testing of High-Speed DACs Using PRBS Generation with "Alternate-Bit-Tapping" [p. 377]
Salcic, Z.
PDF icon DynOAA - Dynamic Offset Adaptation Algorithm for Improving Response Times of CAN Systems [p. 269]
Salem, A.
PDF icon A Reconfigurable, Pipelined, Conflict Directed Jumping Search SAT Solver [p. 1243]
Salsano, A.
PDF icon Feedback Based Droop Mitigation [p. 879]
Sampaio, A.M.
PDF icon System-Level Modeling of a Mixed-Signal System on Chip for Wireless Sensor Networks [p. 1501]
Sanchez, E.
PDF icon Fault Grading of Software-Based Self-Test Procedures for Dependable Automotive Applications [p. 513]
Sander, I.
PDF icon Predicting Bus Contention Effects on Energy and Performance in Multi-Processor SoCs [p. 1196]
Sander, O.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Sandhu, S.
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Sanghani, A.
PDF icon A Clock-Gating Based Capture Power Droop Reduction Methodology for At-Speed Scan Testing [p. 197]
Sangiovanni-Vincentelli, A.
PDF icon Component-Based Design for the Future [p. 1029]
Santambrogio, M.D.
PDF icon A High-Performance Parallel Implementation of the Chambolle Algorithm [p. 1436]
Sapatnekar, S.
PDF icon A Scaled Random Walk Solver for Fast Power Grid Analysis [p. 38]
Sapatnekar, S.S.
PDF icon Enabling Improved Power Management in Multicore Processors through Clustered DVFS [p. 293]
Saponara, S.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Sarangi, S.
PDF icon A Clock-Gating Based Capture Power Droop Reduction Methodology for At-Speed Scan Testing [p. 197]
Sarbazi-Azad, H.
PDF icon Supporting Non-Contiguous Processor Allocation in Mesh-Based CMPs Using Virtual Point-to-Point Links [p. 413]
Sartori, J.
PDF icon On the Efficacy of NBTI Mitigation Techniques [p. 932]
Sassateli, G.
PDF icon Achieving Composability in NoC-Based MPSoCs Through QoS Management at Software Level [p. 407]
Satpathy, S.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
Schaefer, E.
PDF icon A New Method for Automated Generation of Compensation Networks - The EDA Designer Finger [p. 1666]
Schaumont, P.
PDF icon Data-Oriented Performance Analysis of SHA-3 Candidates on FPGA Accelerated Computers [p. 1650]
Schenkelaars, T.
PDF icon Optimal Scheduling of Switched FlexRay Networks [p. 926]
Schirrmeister, F.
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Schmidt, J.-M.
PDF icon Low-cost Fault Detection Method for ECC Using Montgomery Powering Ladder [p. 1016]
Schneider, R.
PDF icon Re-Engineering Cyber-Physical Control Applications for Hybrid Communication Protocols [p. 914]
Schoellkopf, J.-P.
PDF icon System-Level Power Estimation Methodology Using Cycle- and Bit-Accurate TLM [p. 1125]
Scholl, C.
PDF icon Integration of Orthogonal QBF Solving Techniques [p. 149]
Schulte, M.
PDF icon Scratchpad Memory Optimizations for Digital Signal Processing Applications [p. 974]
Schumann, J.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Schwarz, C.
PDF icon NoC-MPU: A Secure Architecture for Flexible Co-Hosting on Shared Memory MPSoCs [p. 591]
Sciolla, M.
PDF icon System Level Techniques to Improve Reliability in High Power Microcontrollers for Automotive Applications [p. 1123]
Sciuto, D.
PDF icon An Efficient Quantum-Dot Cellular Automata Adder [p. 1220]
Sechen, C.
PDF icon Power Reduction via Near-Optimal Library-Based Cell-Size Selection [p. 867]
Sechi, F.
PDF icon A Sensor Fusion Algorithm for an Integrated Angular Position Estimation with Inertial Measurement Units [p. 273]
Seelisch, F.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Segura, J.
PDF icon Stability Optimization of Embedded 8T SRAMs Using Word-Line Voltage Modulation [p. 986]
PDF icon An Efficient and Scalable STA Tool with Direct Path Estimation and Exhaustive Sensitization Vector Exploration for Optimal Delay Computation [p. 1602]
Sekanina, L.
PDF icon A Global Postsynthesis Optimization Method for Combinational Circuits [p. 1525]
Seo, J.
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
Serventi, R.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Seshia, S.A.
PDF icon Counterexample-Guided SMT-Driven Optimal Buffer Sizing [p. 329]
Sha, E.H.-M.
PDF icon Towards Energy Efficient Hybrid On-Chip Scratch Pad Memory with Non-Volatile Memory [p. 746]
Shafique, M.
PDF icon Minority-Game-Based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors [p. 1261]
PDF icon Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding [p. 1448]
PDF icon mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions [p. 1554]
Shah, H.
PDF icon Priority Division: A High-Speed Shared-Memory Bus Arbitration with Bounded Latency [p. 1497]
Shalan, M.
PDF icon A Reconfigurable, Pipelined, Conflict Directed Jumping Search SAT Solver [p. 1243]
Shanbhag, N.
PDF icon System-Assisted Analog Mixed-Signal Design [p. 1491]
Shanbhag, N.R.
PDF icon Timing Error Statistics for Energy-Efficient Robust DSP Systems [p. 285]
Shao, Z.
PDF icon An Endurance-Enhanced Flash Translation Layer via Reuse for NAND Flash Memory Storage Systems [p. 14]
Shen, H.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
Shen, L.
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Sheridan, D.
PDF icon Towards Coverage Closure: Using Goldmine Assertions for Generating Design Validation Stimulus [p. 173]
Shi, X.
PDF icon An Efficient Mask Optimization Method Based on Homotopy Continuation Technique [p. 1053]
Shih, C.-S.
PDF icon Pipeline Schedule Synthesis for Real-Time Streaming Tasks with Inter/Intra-Instance Precedence Constraints [p. 1321]
Shim, K.
PDF icon Temporal Parallel Simulation: A Fast Gate-Level HDL Simulation Using Higher Level Models [p. 1584]
Shin, D.
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
PDF icon A New Circuit Simplification Method for Error Tolerant Applications [p. 1566]
Shin, J.
PDF icon Early Chip Planning Cockpit [p. 863]
Shurek, G.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Siegl, S.
PDF icon Formal Specification and Systematic Model-Driven Testing of Embedded Automotive Systems [p. 118]
Sifakis, J
PDF icon Methods and Tools for Component-Based System Design [p. 1022]
Silveira, L.M.
PDF icon Fast Statistical Analysis of RC Nets Subject to Manufacturing Variabilities [p. 32]
Singer, A.
PDF icon System-Assisted Analog Mixed-Signal Design [p. 1491]
Singh, M.
PDF icon Testing of High-Speed DACs Using PRBS Generation with "Alternate-Bit-Tapping" [p. 377]
Sinha, A.
PDF icon Data-Oriented Performance Analysis of SHA-3 Candidates on FPGA Accelerated Computers [p. 1650]
Sinha, R.
PDF icon Abstract State Machines as an Intermediate Representation for High-Level Synthesis [p. 1406]
Skadron, K.
PDF icon Reducing the Cost of Redundant Execution in Safety-Critical Systems Using Relaxed Dedication [p. 1249]
Slamani, M.
PDF icon Correlating Inline Data with Final Test Outcomes in Analog/RF Devices [p. 812]
Soeken, M.
PDF icon Verifying Dynamic Aspects of UML Models [p. 1077]
Somenzi, F.
PDF icon Clause Simplification through Dominator Analysis [p. 143]
Sommer, R.
PDF icon A New Method for Automated Generation of Compensation Networks - The EDA Designer Finger [p. 1666]
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Sorin, D.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Sotiriades, E.
PDF icon Parallel Accelerators for GlimmerHMM Bioinformatics Algorithm [p. 94]
Spica, M.
PDF icon Error Correcting Code Analysis for Cache Memory High Reliability and Performance [p. 1620]
Sporrer, C.
PDF icon A New Method for Automated Generation of Compensation Networks - The EDA Designer Finger [p. 1666]
Sreedhar, A.
PDF icon On Design of Test Structures for Lithographic Process Corner Identification [p. 800]
PDF icon Modeling Manufacturing Process Variation for Design and Test [p. 1147]
PDF icon Physically Unclonable Functions for Embedded Security Based on Lithographic Variation [p. 1632]
Sridhar, A.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Srivastava, M.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
Stattelmann, S.
PDF icon Fast and Accurate Resource Conflict Simulation for Performance Analysis of Multi-Core Systems [p. 210]
Sterpone, L.
PDF icon A New Reconfigurable Clock-Gating Technique for Low Power SRAM-Based FPGAs [p. 752]
Stevenson, P.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Stewart, R.
PDF icon Fast Startup for Spartan-6 FPGAs Using Dynamic Partial Reconfiguration [p. 1542]
Stierand, I.
PDF icon Using Contract-Based Component Specifications for Virtual Integration Testing and Architecture Design [p. 1023]
Stoffel, D.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Strano, A.
PDF icon Exploiting Network-on-Chip Structural Redundancy for a Cooperative and Scalable Built-In Self-Test Architecture [p. 661]
Streichert, T.
PDF icon An Automated Data Structure Migration Concept - From CAN to Ethernet/IP in Automotive Embedded Systems (CANoverIP) [p. 112]
Struzyna, M.
PDF icon Flow-based Partitioning and Position Constraints in VLSI Placement [p. 607]
Sumikawa, N.
PDF icon Multidimensional Parametric Test Set Optimization of Wafer Probe Data for Predicting in Field Failures and Setting Tighter Test Limits [p. 794]
Sun, Z.
PDF icon A UML 2-Based Hardware/Software Co-Design Framework for Body Sensor Network Applications [p. 1505]
Sutardja, C.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Sylvester, D.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Sylvester, M.
PDF icon Strategies for Initial Sizing and Operating Point Analysis of Analog Circuits [p. 1672]
Szczukiewicz, S.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]

T

Tahar, S.
PDF icon Ensuring Correctness of Analog Circuits in Presence of Noise and Process Variations Using Pattern Matching [p. 1188]
Tahoori, M.B.
PDF icon Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) [p. 70]
Tang, C.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Tang, Q.
PDF icon Pseudo Circuit Model for Representing Uncertainty in Waveforms [p. 1521]
Tanimura, K.
PDF icon Slack-Aware Scheduling on Coarse Grained Reconfigurable Arrays [p. 1513]
Tasic, B.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Taskin, B.
PDF icon Steiner Tree Based Rotary Clock Routing with Bounded Skew and Capacitive Load Balancing [p. 455]
Tavakkol, A.
PDF icon Supporting Non-Contiguous Processor Allocation in Mesh-Based CMPs Using Virtual Point-to-Point Links [p. 413]
Tchagaspanian, M.
PDF icon Smart Imagers of the Future [p. 437]
Tehranipoor, M.
PDF icon RON: An On-Chip Ring Oscillator Network for Hardware Trojan Detection [p. 1638]
Teich, J.
PDF icon An Automated Data Structure Migration Concept - From CAN to Ethernet/IP in Automotive Embedded Systems (CANoverIP) [p. 112]
PDF icon DynOAA - Dynamic Offset Adaptation Algorithm for Improving Response Times of CAN Systems [p. 269]
PDF icon A Rule-Based Static Dataflow Clustering Algorithm for Efficient Embedded Software Synthesis [p. 521]
Temiz, Y.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Tendulkar, P.
PDF icon Fine-Grain OpenMP Runtime Support with Explicit Communication Hardware Primitives [p. 891]
Tennakoon, H.
PDF icon Power Reduction via Near-Optimal Library-Based Cell-Size Selection [p. 867]
Thapliyal, H.
PDF icon A New Reversible Design of BCD Adder [p. 1180]
Theocharides, T.
PDF icon Depth-Directed Hardware Object Detection [p. 1442]
Thiele, L.
PDF icon Worst-Case Temperature Analysis for Real-Time Systems [p. 631]
PDF icon Composing Heterogeneous Components for System-Wide Performance Analysis [p. 842]
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Thome, J.R.
PDF icon Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling [p. 1466]
Thorolfsson, T.
PDF icon An Energy-Efficient 64-QAM MIMO Detector for Emerging Wireless Standards [p. 246]
Tilli, A.
PDF icon A Distributed and Self-Calibrating Model-Predictive Controller for Energy and Thermal Management of High-Performance Multicores [p. 830]
Timoncini, N.
PDF icon Error Correcting Code Analysis for Cache Memory High Reliability and Performance [p. 1620]
Tinfena, F.
PDF icon Characterization of an Intelligent Power Switch for LED Driving with Control of Wiring Parasitics Effects [p. 1119]
Tino, A.
PDF icon Multi-Objective Tabu Search Based Topology Generation Technique for Application-Specific Network-on-Chip Architectures [p. 485]
Tiwari, P.
PDF icon Power Management Verification Experiences in Wireless SoCs [p. 507]
Topham, N.
PDF icon Virtual Manycore Platforms: Moving Towards 100+ Processor Cores [p. 715]
Torrens, G.
PDF icon Stability Optimization of Embedded 8T SRAMs Using Word-Line Voltage Modulation [p. 986]
Trajcevski, G.
PDF icon Efficient Parameter Variation Sampling for Architecture Simulations [p. 1578]
Trajkovic, J.
PDF icon Optical Ring Network-on-Chip (ORNoC): Architecture and Design Methodology [p. 788]
Traulsen, C.
PDF icon Compiling SyncCharts to Synchronous C [p. 563]
Tsai, T.-Y.
PDF icon On Routing Fixed Escaped Boundary Pins for High Speed Boards [p. 461]
Tsay, R.-S.
PDF icon DOM: A Data-Dependency-Oriented Modeling Approach for Efficient Simulation of OS Preemptive Scheduling [p. 335]
PDF icon Cycle-Count-Accurate Processor Modeling for Fast and Accurate System-Level Simulation [p. 341]
PDF icon A Shared-Variable-Based Synchronization Approach to Efficient Cache Coherence Simulation for Multi-Core Systems [p. 347]
Tseng, C.-K.
PDF icon Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler [p. 637]
Tseng, W.-C.
PDF icon Towards Energy Efficient Hybrid On-Chip Scratch Pad Memory with Non-Volatile Memory [p. 746]
Tsukiyama, S.
PDF icon An Algorithm to Improve Accuracy of Criticality in Statistical Static Timing Analysis [p. 1529]
Ttofis, C.
PDF icon Depth-Directed Hardware Object Detection [p. 1442]
Tuohy, W.
PDF icon Towards Coverage Closure: Using Goldmine Assertions for Generating Design Validation Stimulus [p. 173]
Turkewadikar, S.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]

V

Valgimigli, F.
PDF icon An Integrated Platform for Advanced Diagnostics [p. 1454]
van Beurden, M.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
van der Kolk, K.-J.
PDF icon Fast Statistical Analysis of RC Nets Subject to Manufacturing Variabilities [p. 32]
van der Meijs, N.
PDF icon Fast Statistical Analysis of RC Nets Subject to Manufacturing Variabilities [p. 32]
PDF icon Pseudo Circuit Model for Representing Uncertainty in Waveforms [p. 1521]
Van der Plas, G.
PDF icon An Analytical Compact Model for Estimation of Stress in Multiple Through-Silicon Via Configurations [p. 505]
van der Wolf, P.
PDF icon SoC Infrastructures for Predictable System Integration [p. 857]
Vasicek, Z.
PDF icon A Global Postsynthesis Optimization Method for Combinational Circuits [p. 1525]
Vasudevan, S.
PDF icon Towards Coverage Closure: Using Goldmine Assertions for Generating Design Validation Stimulus [p. 173]
PDF icon Efficient Validation Input Generation in RTL by Hybridized Source Code Analysis [p. 1596]
Vatajelu, E.I.
PDF icon Robustness Analysis of 6T SRAMs in Memory Retention Mode under PVT Variations [p. 980]
Veneris, A.
PDF icon Automated Debugging of SystemVerilog Assertions [p. 323]
Venkatesan, R.
PDF icon VESPA: Variability Emulation for System-on-Chip Performance Analysis [p. 2]
Venugopalan, S.
PDF icon SAMURAI: An Accurate Method for Modeling and Simulating Non-Stationary Random Telegraph Noise in SRAMs [p. 1113]
Vera, X.
PDF icon Architectures for Online Error Detection and Recovery in Multicore Processors [p. 533]
Verbauwhede, I.
PDF icon Low-cost Fault Detection Method for ECC Using Montgomery Powering Ladder [p. 1016]
Verdant, A.
PDF icon Smart Imagers of the Future [p. 437]
Vermeulen, B.
PDF icon Optimal Scheduling of Switched FlexRay Networks [p. 926]
Vidal, J.
PDF icon Dynamic Applications on Reconfigurable Systems: From UML Model Design to FPGAs Implementation [p. 1208]
Vijayaraghavan, R.C.
PDF icon Circuit and DFT Techniques for Robust and Low Cost Qualification of a Mixed-Signal SoC with Integrated Power Management System [p. 551]
Visintainer, F.
PDF icon Sensor Networks on the Car: State of the Art and Future Challenges [p. 1030]
Vissers, K.
PDF icon Building Real-time HDTV Applications in FPGAs Using Processors, AXI Interfaces and High Level Synthesis Tools [p. 848]
Vivet, P.
PDF icon 3D Embedded Multi-Core: Some Perspectives [p. 1327]
von Hanxleden, R.
PDF icon Compiling SyncCharts to Synchronous C [p. 563]
Vrudhula, S.
PDF icon Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors [p. 137]

W

Wachs, M.
PDF icon Intermediate Representations for Controllers in Chip Generators [p. 1394]
Wagner, F.R.
PDF icon Improving the Efficiency of a Hardware Transactional Memory on an NoC-based MPSoC [p. 1168]
Wagner, I.
PDF icon Distributed Hardware Matcher Framework for SoC Survivability [p. 305]
Wagner, M.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Wang, C.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Wang, G.
PDF icon Coordinate Strip-Mining and Kernel Fusion to Lower Power Consumption on GPU [p. 1216]
Wang, K.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Wang, L.
PDF icon Wireless Communication and Energy Harvesting in Automobiles [p. 1042]
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
Wang, L.-C.
PDF icon Multidimensional Parametric Test Set Optimization of Wafer Probe Data for Predicting in Field Failures and Setting Tighter Test Limits [p. 794]
Wang, P.-C.
PDF icon DOM: A Data-Dependency-Oriented Modeling Approach for Efficient Simulation of OS Preemptive Scheduling [p. 335]
Wang, P.-Y.
PDF icon An All-Digital Built-In Self-Test Technique for Transfer Function Characterization of RF PLLs [p. 359]
Wang, X.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Wang, Y.
PDF icon An Endurance-Enhanced Flash Translation Layer via Reuse for NAND Flash Memory Storage Systems [p. 14]
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
PDF icon Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Applications [p. 875]
PDF icon FlexMemory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth [p. 968]
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]
Wang, Z.
PDF icon An Approach to Improve Accuracy of Source-Level TLMs of Embedded Software [p. 216]
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Wang, Z.-C.
PDF icon A New Architecture for Power Network in 3D IC [p. 401]
Wanner, L.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
Watanabe, Y.
PDF icon Realistic Performance-Constrained Pipelining in High-Level Synthesis [p. 1382]
Weddell, A.S.
PDF icon Ultra Low-Power Photovoltaic MPPT Technique for Indoor and Outdoor Wireless Sensor Nodes [p. 905]
PDF icon Accelerated Simulation of Tunable Vibration Energy Harvesting Systems Using a Linearised State-Space Technique [p. 1267]
Wedler, M.
PDF icon STABLE: A New QF-BV SMT Solver for Hard Verification Problems combining Boolean Reasoning with Computer Algebra [p. 155]
Weger, A.J.
PDF icon Early Chip Planning Cockpit [p. 863]
Wehn, N.
PDF icon Design Space Exploration for 3D-Stacked DRAMs [p. 389]
Weis, C.
PDF icon Design Space Exploration for 3D-Stacked DRAMs [p. 389]
Welp, T.
PDF icon An Approach for Dynamic Selection of Synthesis Transformations Based on Markov Decision Processes [p. 1533]
Wen, X.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]
Weng, C.-C.
PDF icon Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler [p. 637]
Weng, T.
PDF icon Understanding the Role of Buildings in a Smart Microgrid [p. 1224]
Werthimer, D.
PDF icon Powering and Communicating with mm-size Implants [p. 722]
Wiegand, T.
PDF icon Architecture and FPGA-Implementation of a High Throughput K+-Best Detector [p. 240]
Wille, R.
PDF icon Verifying Dynamic Aspects of UML Models [p. 1077]
PDF icon Determining the Minimal Number of Lines for Large Reversible Circuits [p. 1204]
Winemberg, L.
PDF icon Multidimensional Parametric Test Set Optimization of Wafer Probe Data for Predicting in Field Failures and Setting Tighter Test Limits [p. 794]
Wink, T.
PDF icon MARC II: A Parametrized Speculative Multi-Ported Memory Subsystem for Reconfigurable Computers [p. 1352]
Winter, M.
PDF icon Guaranteed Service Virtual Channel Allocation in NoCs for Run-Time Task Scheduling [p. 419]
Winterholer, M.
PDF icon Embedded Software Debug and Test - Needs and Requirements for Innovations in Debugging [p. 721]
Wittmann, R.
PDF icon Generator Based Approach for Analog Circuit and Layout Design and Optimization [p. 1675]
Woh, M.
PDF icon Low Power Interconnects for SIMD Computers [p. 600]
Wolff, F.G.
PDF icon High-Temperature (>500°C) Reconfigurable Computing Using Silicon Carbide NEMS Switches [p. 1065]
Wong, N.
PDF icon A Block-Diagonal Structured Model Reduction Scheme for Power Grid Networks [p. 44]
Wong, S.
PDF icon A New Reconfigurable Clock-Gating Technique for Low Power SRAM-Based FPGAs [p. 752]
PDF icon Targeting Code Diversity with Run-Time Adjustable Issue-Slots in a Chip Multiprocessor [p. 1358]
Wong, W.-F.
PDF icon A UML 2-Based Hardware/Software Co-Design Framework for Body Sensor Network Applications [p. 1505]
Wright, P.K.
PDF icon What Does the Power Industry Need from the EDA Industry and What Is the EDA Industry Doing About It? [p. 1541]
Wu, C.-A.
PDF icon Speeding up MPSoC Virtual Platform Simulation by Ultra Synchronization Checking Method [p. 353]
Wu, D.
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
Wu, K.
PDF icon LOEDAR: A Low Cost Error Detection and Recovery Scheme for ECC [p. 1010]
Wu, K.-C.
PDF icon Aging-Aware Timing Analysis and Optimization Considering Path Sensitization [p. 1572]
Wu, M.-H.
PDF icon DOM: A Data-Dependency-Oriented Modeling Approach for Efficient Simulation of OS Preemptive Scheduling [p. 335]
PDF icon Cycle-Count-Accurate Processor Modeling for Fast and Accurate System-Level Simulation [p. 341]
PDF icon A Shared-Variable-Based Synchronization Approach to Efficient Cache Coherence Simulation for Multi-Core Systems [p. 347]
Wu, T.
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
Wu, T.-H.
PDF icon Power-Driven Global Routing for Multi-Supply Voltage Domains [p. 443]
Wu, Y.
PDF icon Empirical Design Bugs Prediction for Verification [p. 161]
Wunderlich, H.-J.
PDF icon SAT-Based Fault Coverage Evaluation in the Presence of Unknown Values [p. 1303]

X

Xia, F.
PDF icon Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks [p. 497]
Xiao, N.
PDF icon A Specialized Low-Cost Vectorized Loop Buffer for Embedded Processors [p. 1200]
Xie, X.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Xie, Y.
PDF icon An Energy-Efficient 3D CMP Design with Fine-Grained Voltage Scaling [p. 539]
PDF icon Design Implications of Memristor-Based RRAM Cross-Point Structures [p. 734]
Xing, Y.
PDF icon Test Time Reduction in Analogue/Mixed-Signal Devices by Defect Oriented Testing: An Industrial Example [p. 371]
Xu, C.
PDF icon Design Implications of Memristor-Based RRAM Cross-Point Structures [p. 734]
Xu, H.
PDF icon Analytical Heat Transfer Model for Thermal Through-Silicon Vias [p. 395]
Xu, N.
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
Xu, Q.
PDF icon On Multiplexed Signal Tracing for Post-Silicon Debug [p. 685]
Xue, C.J.
PDF icon Register Allocation for Simultaneous Reduction of Energy and Peak Temperature on Registers [p. 20]
PDF icon Towards Energy Efficient Hybrid On-Chip Scratch Pad Memory with Non-Volatile Memory [p. 746]
Xue, L.
PDF icon Floorplanning Exploration and Performance Evaluation of a New Network-on-Chip [p. 625]

Y

Yakovlev, A.
PDF icon Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks [p. 497]
PDF icon Energy-Modulated Computing [p. 1340]
PDF icon Redressing Timing Issues for Speed-Independent Circuits in Deep Submicron Age [p. 1376]
Yamato, Y.
PDF icon Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation [p. 895]
Yan, C.
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Yan, J.-T.
PDF icon Obstacle-Aware Multiple-Source Rectilinear Steiner Tree with Electromigration and IR-Drop Avoidance [p. 449]
PDF icon Timing-Constrained I/O Buffer Placement for Flip-Chip Designs [p. 619]
Yang, B.
PDF icon A Clock-Gating Based Capture Power Droop Reduction Methodology for At-Speed Scan Testing [p. 197]
Yang, C.
PDF icon Frugal but Flexible Multicore Topologies in Support of Resource Variation-Driven Adaptivity [p. 1255]
Yang, H.
PDF icon Worst-Case Temperature Analysis for Real-Time Systems [p. 631]
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
Yang, J.
PDF icon Proactive Recovery for BTI in High-K SRAM Cells [p. 992]
Yang, S.
PDF icon A New Distributed Event-Driven Gate-Level HDL Simulation by Accurate Prediction [p. 547]
PDF icon Case Study: Alleviating Hotspots and Improving Chip Reliability via Carbon Nanotube Thermal Interface [p. 1071]
PDF icon Temporal Parallel Simulation: A Fast Gate-Level HDL Simulation Using Higher Level Models [p. 1584]
Yang, X.
PDF icon Robust 6T Si Tunneling Transistor SRAM Design [p. 740]
Ye, J.
PDF icon On Diagnosis of Multiple Faults Using Compressed Responses [p. 679]
Yeh, C.-T.
PDF icon A UML 2-Based Hardware/Software Co-Design Framework for Body Sensor Network Applications [p. 1505]
Yeh, Y.-F.
PDF icon Speeding up MPSoC Virtual Platform Simulation by Ultra Synchronization Checking Method [p. 353]
Yeric, G.
PDF icon Correlating Models and Silicon for Improved Parametric Yield [p. 1159]
Yeung, P.
PDF icon Challenges in Designing High Speed Memory Subsystem for Mobile Applications [p. 509]
Yi, W.
PDF icon Energy-Efficient Scheduling of Real-Time Tasks on Cluster-Based Multicores [p. 1135]
Yip, T.G.
PDF icon Challenges in Designing High Speed Memory Subsystem for Mobile Applications [p. 509]
Yoo, S.
PDF icon A Novel Tag Access Scheme for Low Power L2 Cache [p. 655]
PDF icon A Quantitative Analysis of Performance Benefits of 3D Die Stacking on Mobile and Embedded SoC [p. 1333]
Yoon, J.W.
PDF icon I2CRF: Incremental Interconnect Customization for Embedded Reconfigurable Fabrics [p. 1346]
Yu, C.-C.
PDF icon Trigonometric Method to Handle Realistic Error Probabilities in Logic Circuits [p. 64]
Yu, H.
PDF icon Optimization of Stateful Hardware Acceleration in Hybrid Architectures [p. 567]
Yu, K.
PDF icon A High-Level Analytical Model for Application Specific CMP Design Exploration [p. 1095]

Z

Zadegan, F.G.
PDF icon Design Automation for IEEE P1687 [p. 1412]
Zafalon, R.
PDF icon Solid State Photodetectors for Nuclear Medical Imaging Applications [p. 511]
Zahedi, S.
PDF icon Variability-Aware Duty Cycle Scheduling in Long Running Embedded Sensing Systems [p. 131]
Zaidi, Y.
PDF icon Simulation Based Tuning of System Specification [p. 1273]
Zaki, M.H.
PDF icon Ensuring Correctness of Analog Circuits in Presence of Noise and Process Variations Using Pattern Matching [p. 1188]
Zarrineh, K.
PDF icon Feedback Based Droop Mitigation [p. 879]
Zatt, B.
PDF icon Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding [p. 1448]
Zebelein, C.
PDF icon A Rule-Based Static Dataflow Clustering Algorithm for Efficient Embedded Software Synthesis [p. 521]
Zeng, X.
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Zergainoh, N.-E.
PDF icon A fault-Tolerant Deadlock-Free Adaptive Routing for On Chip Interconnects [p. 909]
PDF icon Eliminating Speed Penalty in ECC Protected Memories [p. 1614]
Zhai, A.
PDF icon Enabling Improved Power Management in Multicore Processors through Clustered DVFS [p. 293]
Zhang, L.
PDF icon FlexMemory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth [p. 968]
Zhang, W.
PDF icon Case Study: Alleviating Hotspots and Improving Chip Reliability via Carbon Nanotube Thermal Interface [p. 1071]
Zhang, X.
PDF icon Gemma in April: A Matrix-Like Parallel Programming Architecture on OpenCL [p. 703]
PDF icon RON: An On-Chip Ring Oscillator Network for Hardware Trojan Detection [p. 1638]
Zhang, Y.
PDF icon Floorplanning Exploration and Performance Evaluation of a New Network-on-Chip [p. 625]
PDF icon Proactive Recovery for BTI in High-K SRAM Cells [p. 992]
Zhang, Z.
PDF icon A Block-Diagonal Structured Model Reduction Scheme for Power Grid Networks [p. 44]
PDF icon A Confidence-Driven Model for Error-Resilient Computing [p. 1608]
Zhao, C.
PDF icon An Extension to SystemC-A to Support Mixed-Technology Systems with Distributed Components [p. 1279]
Zhao, J.
PDF icon An Energy-Efficient 3D CMP Design with Fine-Grained Voltage Scaling [p. 539]
Zhi, Y.
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Zhou, H.
PDF icon A High-Level Analytical Model for Application Specific CMP Design Exploration [p. 1095]
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Zhou, H.
PDF icon Integrated Circuit White Space Redistribution for Temperature Optimization [p. 613]
Zhu, H.
PDF icon An Efficient Algorithm for Multi-Domain Clock Skew Scheduling [p. 1364]
Zhu, M.
PDF icon Evaluating the Potential of Graphics Processors for High Performance Embedded Computing [p. 709]
Zhuge, Q.
PDF icon Towards Energy Efficient Hybrid On-Chip Scratch Pad Memory with Non-Volatile Memory [p. 746]
Ziermann, T.
PDF iconDynOAA - Dynamic Offset Adaptation Algorithm for Improving Response Times of CAN Systems [p. 269]
Zimmerling, M.
PDF icon X-SENSE: Sensing in Extreme Environments [p. 1460]
Ziv, A.
PDF icon A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation [p. 1590]
Zjajo, A.
PDF icon Pseudo Circuit Model for Representing Uncertainty in Waveforms [p. 1521]
Zuber, P.
PDF icon Variability Aware Modeling for Yield Enhancement of SRAM and Logic [p. 1153]
Zukoski, A.
PDF icon Reliability-driven Don't Care Assignment for Logic Synthesis [p. 1560]
Zuo, Q.
PDF icon Floorplanning Exploration and Performance Evaluation of a New Network-on-Chip [p. 625]
Zwolinski, M.
PDF icon Modeling Circuit Performance Variations Due to Statistical Variability: Monte Carlo Static Timing Analysis [p. 1537]