DATE 2013 TABLE OF CONTENTS

[A] [B] [C] [D] [E] [F] [G] [H] [I] [J] [K] [L] [M] [N] [O] [P] [Q] [R] [S] [T] [U] [V] [W] [X] [Y] [Z]


A

Aamodt, T.
PDF icon Characterizing the Performance Benefits of Fused CPU/GPU Systems Using FusionSim [p. 685]
Abdi, S.
PDF icon Hybrid Prototyping of Multicore Embedded Systems [p. 1627]
Abdulla, P.
PDF icon Verifying Safety and Liveness for the FlexTM Hybrid Transactional Memory [p. 785]
Abe, K.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Abella, J.
PDF icon A Cache Design for Probabilistically Analysable Real-time Systems [p. 513]
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]
PDF icon Efficient Cache Architectures for Reliable Hybrid Voltage Operation Using EDC Codes [p. 917]
Abouzeid, F.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Abraham, J.
PDF icon Non-Speculative Double-Sampling Technique to Increase Energy-Efficiency in a High-Performance Processor [p. 254]
Aceituno, P. V.
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Acquaviva, A.
PDF icon HW-SW Integration for Energy-Efficient/Variability-Aware Computing [p. 607]
Adnan, M.
PDF icon Utility-Aware Deferred Load Balancing in the Cloud Driven by Dynamic Pricing of Electricity [p. 262]
Afzali-Kusha, A.
PDF icon An Efficient Network-on-Chip Architecture Based on Isolating Local and Non-Local Communications [p. 350]
Agostini, L.
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
Aguado, J.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Ahmad, M.
PDF icon Formal Analysis of Steady State Errors in Feedback Control Systems Using HOL-Light [p. 1423]
Ahmadyan, S. N.
PDF icon Runtime Verification of Nonlinear Analog Circuits Using Incremental Time-Augmented RRT Algorithm [p. 21]
PDF icon Reachability Analysis of Nonlinear Analog Circuits through Iterative Reachable Set Reduction [p. 1436]
Ait Hmid, M.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Aitken, R.
PDF icon SlackProbe: A Low Overhead In Situ On-line Timing Slack Monitoring Methodology [p. 282]
PDF icon Reliability Analysis Reloaded: How Will We Survive? [p. 358]
PDF icon Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic Write Vmin [p. 1819]
Akesson, B.
PDF icon System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs [p. 236]
PDF icon Bounding SDRAM Interference: Detailed Analysis vs. Latency-Rate Analysis [p. 308]
PDF icon Conservative Open-Page Policy for Mixed Time-Criticality Memory Controllers [p. 525]
PDF icon Architecture and Optimal Configuration of a Real-Time Multi-Channel Memory Controller [p. 1307]
Akhlaghi, V.
PDF icon An Efficient Network-on-Chip Architecture Based on Isolating Local and Non-Local Communications [p. 350]
Al Farisi, B.
PDF icon An Automatic Tool Flow for the Combined Implementation of Multi-mode Circuits [p. 821]
Al-Ars, Z.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
PDF icon Efficient Software-Based Fault Tolerance Approach on Multicore Platforms [p. 921]
Al-Hashimi, B.M.
PDF icon MALEC: A Multiple Access Low Energy Cache [p. 368]
PDF icon DoE-based Performance Optimization of Energy Management in Sensor Nodes Powered by Tunable Energy-Harvesters [p. 484]
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
Alias, C.
PDF icon Optimizing Remote Accesses for Offloaded Kernels: Application to High-Level Synthesis for FPGA [p. 575]
Aliee, H.
PDF icon Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults [p. 1621]
Alpert, C.J.
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]
Amarù, L.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
PDF icon Biconditional BDD: A Novel Canonical BDD for Logic Synthesis Targeting XOR-rich Circuits [p. 1014]
Amat, E.
PDF icon Design and Implementation of an Adaptive Proactive Reconfiguration Technique for SRAM Caches [p. 1303]
Ambrose, J.A.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
Aminifar, Amir
PDF icon Control-Quality Driven Design of Cyber-Physical Systems with Robustness Guarantees [p. 1093]
Amory, A.
PDF icon Topology-Agnostic Fault-Tolerant NoC Routing Method [p. 1595]
Ampadu, P.
PDF icon Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems [p. 731]
Ananthanarayan, S.
PDF icon Low Cost Permanent Fault Detection Using Ultra-Reduced Instruction Set Co-Processors [p. 933]
Ancajas, D.M.
PDF icon Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach [p. 1032]
Anderson, J.H.
PDF icon Multi-Pumping for Resource Reduction in FPGA High-Level Synthesis [p. 194]
Andriamisaina, C.
PDF icon An Efficient and Flexible Hardware Support for Accelerating Synchronization Operations on the STHORM Many-Core Architecture [p. 531]
Ansaloni, G.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
PDF icon A Methodology for Embedded Classification of Heartbeats Using Random Projections [p. 899]
Antoniadis, C.
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
Antoniadis, D.
PDF icon Statistical Modeling with the Virtual Source MOSFET Model [p. 1454]
Arima, E.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Ascheid, G.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Atat, Y.
PDF icon Statically-scheduled Application-specific Processor Design: A Case-study on MMSE MIMO Equalization [p. 677]
Athanasopoulos, P.
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Atienza, D.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
PDF icon A Methodology for Embedded Classification of Heartbeats Using Random Projections [p. 899]
PDF icon Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters [p. 1345]
PDF icon Closed-Loop Control for Power and Thermal Management in Multi-core Processors: Formal Methods and Industrial Practice [p. 1879]
Atta, I.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
Axer, P.
PDF icon Sensitivity Analysis for Arbitrary Activation Patterns in Real-time Systems [p. 135]
Ayad, G.
PDF icon HW-SW Integration for Energy-Efficient/Variability-Aware Computing [p. 607]
Ayala, J.L.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]

B

Backes, J.
PDF icon Using Cubes of Non-state Variables with Property Directed Reachability [p. 807]
Badereddine, N.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Baghdadi, A.
PDF icon Parameterized Area-efficient Multi-standard Turbo Decoder [p. 109]
PDF icon Statically-scheduled Application-specific Processor Design: A Case-study on MMSE MIMO Equalization [p. 677]
Bahr, R.
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
Bai, K.
PDF icon Automatic and Efficient Heap Data Management for Limited Local Memory Multicore Architectures [p. 593]
Bakkaloglu, B.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Bakshi, D.
PDF icon LFSR Seed Computation and Reduction Using SMT-Based Fault-Chaining [p. 1071]
Balachandran, S.
PDF icon An Efficient Wirelength Model for Analytical Placement [p. 1711]
Balasubramanian, L.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Ballan, O.
PDF icon On-Line Functionally Untestable Fault Identification in Embedded Processor Cores [p. 1462]
Balsamo, D.
PDF icon Perpetual and Low-cost Power Meter for Monitoring Residential and Industrial Appliances [p. 1155]
Bampi, S.
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
BanaiyanMofrad, A.
PDF icon Modeling and Analysis of Fault-tolerant Distributed Memories for Networks-on-Chip [p. 1605]
Baniasadi, A.
PDF icon Using Synchronization Stalls in Power-aware Accelerators [p. 400]
Bartolini, A.
PDF icon SCC Thermal Model Identification via Advanced Bias-Compensated Least-Squares [p. 230]
Bartolini, S.
PDF icon Contrasting Wavelength-Routed Optical NoC Topologies for Power-Efficient 3D-Stacked Multicore Processors Using Physical-Layer Analysis [p. 1589]
Bauer, L.
PDF icon Adaptive Cache Management for a Combined SRAM and DRAM Cache Hierarchy for Multi-cores [p. 77]
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
Baumgartner, J.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
Bayrak, A.G.
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
Beanato, Giulia
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Beck, A.C.S.
PDF icon A Transparent and Energy Aware Reconfigurable Multiprocessor Platform for Simultaneous ILP and TLP Exploitation [p. 1559]
Becker, B.
PDF icon Accurate QBF-based Test Pattern Generation in Presence of Unknown Values [p. 436]
PDF icon Efficient SAT-based Dynamic Compaction and Relaxation for Longest Sensitizable Paths [p. 448]
Becker, J.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
Beer, S.
PDF icon Metastability Challenges for 65nm and Beyond; Simulation and Measurements [p. 1297]
Beigne, E.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
PDF icon A Gate Level Methodology for Efficient Statistical Leakage Estimation in Complex 32nm Circuits [p. 1056]
Belleville, M.
PDF icon A Gate Level Methodology for Efficient Statistical Leakage Estimation in Complex 32nm Circuits [p. 1056]
Belov, A.
PDF icon Core Minimization in SAT-based Abstraction [p. 1411]
Beltrame, G.
PDF icon Explicit Transient Thermal Simulation of Liquid-Cooled 3D ICs [p. 1385]
Benazzouz, Y.
PDF icon Self-aware Cyber-physical Systems and Applications in Smart Buildings and Cities [p. 1149]
Beneventi, F.
PDF icon SCC Thermal Model Identification via Advanced Bias-Compensated Least-Squares [p. 230]
Benini, L.
PDF icon SCC Thermal Model Identification via Advanced Bias-Compensated Least-Squares [p. 230]
PDF icon Variation-tolerant OpenMP Tasking on Tightly-coupled Processor Clusters [p. 541]
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
PDF icon Enabling Fine-Grained OpenMP Tasking on Tightly-Coupled Shared Memory Clusters [p. 1504]
PDF icon Hierarchically Focused Guardbanding: An Adaptive Approach to Mitigate PVT Variations and Aging [p. 1695]
Bennett, P.
PDF icon Configurable IO Integration to Reduce System-on-Chip Time to Market: DDR, PCIe Examples [p. 169]
Benoist, T.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Berger, E.
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]
Bernard, S.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Bernardi, P.
PDF icon On-Line Functionally Untestable Fault Identification in Embedded Processor Cores [p. 1462]
Bernasconi, A.
PDF icon Minimization of P-Circuits Using Boolean Relations [p. 996]
Bertacco, V.
PDF icon Machine Learning-based Anomaly Detection for Post-silicon Bug Diagnosis [p. 491]
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Bertels, K.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
PDF icon Efficient Software-Based Fault Tolerance Approach on Multicore Platforms [p. 921]
Bertin, V.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Bertozzi, D.
PDF icon A Transition-Signaling Bundled Data NoC Switch Architecture for Cost-effective GALS Multicore Systems [p. 332]
PDF icon Contrasting Wavelength-Routed Optical NoC Topologies for Power-Efficient 3D-Stacked Multicore Processors Using Physical-Layer Analysis [p. 1589]
Besnard, L.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Bhadra, J.
PDF icon Process-Variation-Aware Iddq Diagnosis for Nano-Scale CMOS Designs - The First Step [p. 454]
Bhatta, D.
PDF icon Periodic Jitter and Bounded Uncorrelated Jitter Decomposition Using Incoherent Undersampling [p. 1667]
Bhattacharjee, S.
PDF icon A Fast and Efficient DFT for Test and Diagnosis of Power Switches in SoCs [p. 1089]
Bi, X.
PDF icon STT-RAM Designs Supporting Dual-Port Accesses [p. 853]
Biere, A.
PDF icon Bridging the Gap between Dual Propagation and CNF-based QBF Solving [p. 811]
Billoint, O.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Blanche, T.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Blouet, P.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Blystad, L.-C.
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
Bobba, S.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
Boettcher, M.
PDF icon MALEC: A Multiple Access Low Energy Cache [p. 368]
Bogdan, P.
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
Boley, J.
PDF icon Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic Write Vmin [p. 1819]
Boll, D.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Bombieri, N.
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Bonazza, M.
PDF icon On-Line Functionally Untestable Fault Identification in Embedded Processor Cores [p. 1462]
Bonhomme, Y.
PDF icon Scan Design with Shadow Flip-flops for Low Performance Overhead and Concurrent Delay Fault Detection [p. 1077]
Boning, D.
PDF icon Statistical Modeling with the Virtual Source MOSFET Model [p. 1454]
Bonnot, P.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
Bononi, L.
PDF icon Interactions of Large Scale EV Mobility and Virtual Power Plants [p. 1725]
Borrmann, J.M.
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
Bosio, A.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Botteron, C.
PDF icon A Sub-μA Power Management Circuit in 0.18μm CMOS for Energy Harvesters [p. 1197]
Bouard, A.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Bouhadiba, T.
PDF icon System-Level Modeling of Energy in TLM for Early Validation of Power and Thermal Management [p. 1609]
Bozdas, K.
PDF icon Exploiting Replicated Checkpoints for Soft Error Detection and Correction [p. 1494]
Bradford, R.
PDF icon Optimized Scheduling of Multi-IMA Partitions with Exclusive Region for Synchronized Real- Time Multi-Core Systems [p. 970]
PDF icon Holistic Design Parameter Optimization of Multiple Periodic Resources in Hierarchical Scheduling [p. 1313]
Brandon, A.
PDF icon Support for Dynamic Issue Width in VLIW Processors Using Generic Binaries [p. 827]
Braojos, R.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
PDF icon A Methodology for Embedded Classification of Heartbeats Using Random Projections [p. 899]
Brayton, R.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
Breuer, M.
PDF icon Using Explicit Output Comparisons for Fault Tolerant Scheduling (FTS) on Modern High-Performance Processors [p. 927]
PDF icon A New Paradigm for Trading Off Yield, Area and Performance to Enhance Performance per Wafer [p. 1753]
Brewer, F.
PDF icon Formal Verification of Analog Circuit Parameters across Variation Utilizing SAT [p. 1442]
Bringmann, O.
PDF icon Shared Memory Aware MPSoC Software Deployment [p. 1771]
Brisk, P.
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
Brown, S.D.
PDF icon Multi-Pumping for Resource Reduction in FPGA High-Level Synthesis [p. 194]
Bruneel, K.
PDF icon An Automatic Tool Flow for the Combined Implementation of Multi-mode Circuits [p. 821]
Brunelli, D.
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
PDF icon Perpetual and Low-cost Power Meter for Monitoring Residential and Industrial Appliances [p. 1155]
Brunschwiler, T.
PDF icon Roadmap towards Ultimately-Efficient Zeta-Scale Datacenters [p. 1339]
Buckl, C.
PDF icon Energy Optimization with Worst-Case Deadline Guarantee for Pipelined Multiprocessor Systems [p. 45]
Bund, T.
PDF icon Event Density Analysis for Event Triggered Control Systems [p. 1111]
Burg, A.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
Burgess, M.
PDF icon Machine Learning-based Anomaly Detection for Post-silicon Bug Diagnosis [p. 491]
Burgio, P.
PDF icon Variation-tolerant OpenMP Tasking on Tightly-coupled Processor Clusters [p. 541]
PDF icon Enabling Fine-Grained OpenMP Tasking on Tightly-Coupled Shared Memory Clusters [p. 1504]
Burleson, W.
PDF icon Run-time Probabilistic Detection of Miscalibrated Thermal Sensors in Many-core Systems [p. 1395]

C

Cabodi, G.
PDF icon Optimization Techniques for Craig Interpolant Compaction in Unbounded Model Checking [p. 1417]
Cai, Y.
PDF icon Threshold Voltage Distribution in MLC NAND Flash Memory: Characterization, Analysis and Modeling [p. 1285]
Caione, C.
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
Calhoun, B.
PDF icon Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic Write Vmin [p. 1819]
Calimera, A.
PDF icon A Verilog-A Model for Reconfigurable Logic Gates Based on Graphene pn-Junctions [p. 877]
Canal, R.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Canis, A.
PDF icon Multi-Pumping for Resource Reduction in FPGA High-Level Synthesis [p. 194]
Cardoso, J.M.P.
PDF icon An Automatic Tool Flow for the Combined Implementation of Multi-mode Circuits [p. 821]
Carloni, L.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
Carrara, S.
PDF icon Electronic Implants: Power Delivery and Management [p. 1540]
Carretero, J.
PDF icon Capturing Vulnerability Variations for Register Files [p. 1468]
Carro, L.
PDF icon A Transparent and Energy Aware Reconfigurable Multiprocessor Platform for Simultaneous ILP and TLP Exploitation [p. 1559]
Carulli J.
PDF icon Handling Discontinuous Effects in Modeling Spatial Correlation of Wafer-level Analog/RF Tests [p. 553]
Carvajal, G.
PDF icon An Open Platform for Mixed-Criticality Real-time Ethernet [p. 153]
Case, M.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
Cassano, L.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Castellana, V.G.
PDF icon Scheduling Independent Liveness Analysis for Register Binding in High Level Synthesis [p. 1571]
Castro, F.
PDF icon Reducing Writes in Phase-Change Memory Environments by Using Efficient Cache Replacement Policies [p. 93]
Cazorla, F. J.
PDF icon A Cache Design for Probabilistically Analysable Real-time Systems [p. 513]
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]
Celanovic, I.
PDF icon MARTHA: Architecture for Control and Emulation of Power Electronics and Smart Grid Systems [p. 519]
Cervin, A.
PDF icon Control-Quality Driven Design of Cyber-Physical Systems with Robustness Guarantees [p. 1093]
Cesana, G.
PDF icon UTBB FD-SOI: A Process/Design Symbiosis for Breakthrough Energy-efficiency [p. 952]
Cevrero, A.
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Cha, B.
PDF icon Trojan Detection via Delay Measurements: A New Approach to Select Paths and Vectors to Maximize Effectiveness and Minimize Cost [p. 1265]
Cha, H.
PDF icon Runtime Power Estimation of Mobile AMOLED Displays [p. 61]
Chabrol, D.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Chakrabarty, K.
PDF icon Fault Detection, Real-Time Error Recovery, and Experimental Demonstration for Digital Microfluidic Biochips [p. 559]
PDF icon Testing for SoCs with Advanced Static and Dynamic Power-Management Capabilities [p. 737]
PDF icon Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels [p. 1065]
Chakraborty, K.
PDF icon Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach [p. 1032]
Chakraborty, S.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
PDF icon Quality-Aware Media Scheduling on MPSoC Platforms [p. 976]
PDF icon Priority Assignment for Event-triggered Systems Using Mathematical Programming [p. 982]
PDF icon Compositional Analysis of Switched Ethernet Topologies [p. 1099]
PDF icon Multirate Controller Design for Resource- and Schedule-Constrained Automotive ECUs [p. 1123]
Chan, T.-B.
PDF icon Impact of Adaptive Voltage Scaling on Aging-Aware Signoff [p. 1683]
Chan, W.-T.
PDF icon Impact of Adaptive Voltage Scaling on Aging-Aware Signoff [p. 1683]
Chandra, V.
PDF icon SlackProbe: A Low Overhead In Situ On-line Timing Slack Monitoring Methodology [p. 282]
PDF icon Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic Write Vmin [p. 1819]
Chandrakasan, A.P.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
PDF icon 40.4fJ/bit/mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters Embedded within a Mesh NoC in 45nm SOI CMOS [p. 1637]
Chandran, S.
PDF icon Space Sensitive Cache Dumping for Post-silicon Validation [p. 497]
Chandrasekar, K.
PDF icon System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs [p. 236]
Chaney, T.
PDF icon Metastability Challenges for 65nm and Beyond; Simulation and Measurements [p. 1297]
Chang, C.-L.(L.)
PDF icon Process-Variation-Aware Iddq Diagnosis for Nano-Scale CMOS Designs - The First Step [p. 454]
Chang, N.
PDF icon Optimal Control of a Grid-Connected Hybrid Electrical Energy Storage System for Homes [p. 881]
PDF icon Capital Cost-Aware Design and Partial Shading-Aware Architecture Optimization of a Reconfigurable Photovoltaic System [p. 909]
PDF icon Saliency Aware Display Power Management [p. 1203]
PDF icon Adaptive Thermal Management for Portable System Batteries by Forced Convection Cooling [p. 1225]
Chantem, T.
PDF icon Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling [p. 1373]
Chatterjee, A.
PDF icon Periodic Jitter and Bounded Uncorrelated Jitter Decomposition Using Incoherent Undersampling [p. 1667]
Chatterjee, D.
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Chattopadhyay, A.
PDF icon Accurate and Efficient Reliability Estimation Techniques during ADL-Driven Embedded Processor Design [p. 547]
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Chaudhari, A.
PDF icon Non-Speculative Double-Sampling Technique to Increase Energy-Efficiency in a High-Performance Processor [p. 254]
Chauhan, P.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
Chauvet, H.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Chaver, D.
PDF icon Reducing Writes in Phase-Change Memory Environments by Using Efficient Cache Replacement Policies [p. 93]
Chen, C.
PDF icon Accurate and Efficient Reliability Estimation Techniques during ADL-Driven Embedded Processor Design [p. 547]
Chen, C.-C.
PDF icon System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms [p. 1615]
Chen, C.-H.O.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
Chen, D.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Chen, G.
PDF icon Energy Optimization with Worst-Case Deadline Guarantee for Pipelined Multiprocessor Systems [p. 45]
Chen, H.
PDF icon Multi-level Phase Analysis for Sampling Simulation [p. 649]
Chen, H.
PDF icon Core Minimization in SAT-based Abstraction [p. 1411]
Chen, H.-M.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
PDF icon A Network-Flow Based Algorithm for Power Density Mitigation at Post-Placement Stage [p. 1707]
PDF icon PAGE: Parallel Agile Genetic Exploration towards Utmost Performance for Analog Circuit Design [p. 1849]
Chen, H.-Y.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Chen, J.-J.
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Chen, W.
PDF icon Optimized Out-of-Order Parallel Discrete Event Simulation Using Predictions [p. 3]
Chen, X.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Chen, Y.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
PDF icon Low Cost Power Failure Protection for MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer [p. 859]
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Chen, Y.
PDF icon Resource-Constrained High-Level Datapath Optimization in ASIP Design [p. 198]
Chen, Y.-C.
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Chen, Y.-H.
PDF icon Dual-addressing Memory Architecture for Two-dimensional Memory Access Patterns [p. 71]
Chen, Y.-L.
PDF icon Automatic Circuit Sizing Technique for the Analog Circuits with Flexible TFTs Considering Process Variation and Bending Effects [p. 1458]
Chen, Y.-Y.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Cheng, C.-H.
PDF icon Co-Synthesis of Data Paths and Clock Control Paths for Minimum-Period Clock Gating [p. 1831]
Cheng, K.-T.
PDF icon Mutation Analysis with Coverage Discounting [p. 31]
Chiang, C.-E.
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Cho, M.
PDF icon Perceptual Quality Preserving SRAM Architecture for Color Motion Pictures [p. 103]
Choi, K.
PDF icon Compiling Control-Intensive Loops for CGRAs with State-Based Full Predication [p. 1579]
Chokshi, D.B.
PDF icon A Satisfiability Approach to Speed Assignment for Distributed Real-Time Systems [p. 749]
Ciesielski, M.
PDF icon FPGA Latency Optimization Using System-level Transformations and DFG Restructuring [p. 1553]
Cilardo, A.
PDF icon Efficient and Scalable OpenMP-based System-level Design [p. 988]
Cinotti, T. S.
PDF icon Interactions of Large Scale EV Mobility and Virtual Power Plants [p. 1725]
Ciriani, V.
PDF icon Minimization of P-Circuits Using Boolean Relations [p. 996]
Clerc, S.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Coenen, T.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Constantin, J.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
Coppola, G.
PDF icon e-Mobility - The Next Frontier for Automotive Industry [p. 1745]
Coppola, M.
PDF icon From Embedded Multi-core SoCs to Scale-out Processors [p. 947]
Cornet, J.
PDF icon Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications [p. 1185]
Corporaal, H.
PDF icon Future of GPGPU Micro-Architectural Parameters [p. 392]
Coskun, A.K.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Cotofana, S.D.
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
Courtois, B.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
Cox, J.
PDF icon Metastability Challenges for 65nm and Beyond; Simulation and Measurements [p. 1297]
Cozzi, D.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Cristal, A.
PDF icon FaulTM: Error Detection and Recovery Using Hardware Transactional Memory [p. 220]
Cronsioe, J.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Curtsinger, C.
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]

D

Daloukas, K.
PDF icon A Parallel Fast Transform-Based Preconditioning Approach for Electrical-Thermal Co- Simulation of Power Delivery Networks [p. 1689]
Daneshtalab, M.
PDF icon CARS: Congestion-Aware Request Scheduler for Network Interfaces in NoC-based Manycore Systems [p. 1048]
PDF icon Fault-Tolerant Routing Algorithm for 3D NoC Using Hamiltonian Path Strategy [p. 1601]
Danneels, H.
PDF icon A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks [p. 1431]
Dar, T.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Darbel, N.
PDF icon SoC Low-Power Practices for Wireless Applications [p. 778]
Darte, A.
PDF icon Optimizing Remote Accesses for Offloaded Kernels: Application to High-Level Synthesis for FPGA [p. 575]
Das, A.
PDF icon Reliability-Driven Task Mapping for Lifetime Extension of Networks-on-Chip Based Multiprocessor Systems [p. 689]
PDF icon Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults [p. 1631]
Datta, S.
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
David, R.
PDF icon An Efficient and Flexible Hardware Support for Accelerating Synchronization Operations on the STHORM Many-Core Architecture [p. 531]
PDF icon A Fast and Accurate Methodology for Power Estimation and Reduction of Programmable Architectures [p. 1054]
PDF icon ARTM: A Lightweight Fork-join Framework for Many-core Embedded Systems [p. 1510]
David, V.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Davoodi, A.
PDF icon A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug [p. 485]
de Barros Naviner, L.A.
PDF icon Scan Design with Shadow Flip-flops for Low Performance Overhead and Concurrent Delay Fault Detection [p. 1077]
De Jonghe, D.
PDF icon Extracting Analytical Nonlinear Models from Analog Circuits by Recursive Vector Fitting of Transfer Function Trajectories [p. 1448]
de Man, H.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
De Marchi, L.
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
De Marchi, M.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
De Micheli, G.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
PDF icon Biconditional BDD: A Novel Canonical BDD for Logic Synthesis Targeting XOR-rich Circuits [p. 1014]
PDF icon Electronic Implants: Power Delivery and Management [p. 1540]
de Schryver, C.
PDF icon A Multi-Level Monte Carlo FPGA Accelerator for Option Pricing in the Heston Model [p. 248]
De Smedt, V.
PDF icon A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks [p. 1431]
De Venuto, D.
PDF icon Dr. Frankenstein's Dream Made Possible: Implanted Electronic Devices [p. 1531]
De, V.
PDF icon Near-Threshold Voltage Design in Nanoscale CMOS [p. 612]
Degomme, A.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Dehaene, W.
PDF icon A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks [p. 1431]
Del Barrio, A.A.
PDF icon Multispeculative Additive Trees in High-Level Synthesis [p. 188]
Deng, L.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Deng, P.
PDF icon Robust and Extensible Task Implementations of Synchronous Finite State Machines [p. 1319]
DeOrio, A.
PDF icon Machine Learning-based Anomaly Detection for Post-silicon Bug Diagnosis [p. 491]
Deruyter, T.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Derwig, R.
PDF icon Modular SoC Integration with Subsystems: The Audio Subsystem Case [p. 157]
Deschrijver, D.
PDF icon Extracting Analytical Nonlinear Models from Analog Circuits by Recursive Vector Fitting of Transfer Function Trajectories [p. 1448]
Deutsch, S.
PDF icon Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels [p. 1065]
Devadas, S.
PDF icon MARTHA: Architecture for Control and Emulation of Power Electronics and Smart Grid Systems [p. 519]
Devanathan, V. R.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Dhaene, T.
PDF icon Extracting Analytical Nonlinear Models from Analog Circuits by Recursive Vector Fitting of Transfer Function Trajectories [p. 1448]
Di Natale, M.
PDF icon Robust and Extensible Task Implementations of Synchronous Finite State Machines [p. 1319]
Díaz, A.
PDF icon Wireless Sensor Network Simulation for Security and Performance Analysis [p. 432]
Dick, R.P.
PDF icon Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling [p. 1373]
Dilillo, L.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Dimitrakopoulos, G.
PDF icon Switch Folding: Network-on-Chip Routers with Time-Multiplexed Output Ports [p. 344]
Diversi, R.
PDF icon SCC Thermal Model Identification via Advanced Bias-Compensated Least-Squares [p. 230]
Dömer, R.
PDF icon Optimized Out-of-Order Parallel Discrete Event Simulation Using Predictions [p. 3]
Domic, A.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
Dong, X.
PDF icon OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches [p. 847]
dos Santos, L.C.V.
PDF icon On-the-fly Verification of Memory Consistency with Concurrent Relaxed Scoreboards [p. 631]
Drechsler, R.
PDF icon Scalable Fault Localization for SystemC TLM Designs [p. 35]
PDF icon Determining Relevant Model Elements for the Verification of UML/OCL Specifications [p. 1189]
PDF icon Towards a Generic Verification Methodology for System Models [p. 1193]
Duato, J.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Duderstadt, B.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Dündar, G.
PDF icon Area Optimization on Fixed Analog Floorplans Using Convex Area Functions [p. 1843]
Dutoit, D.
PDF icon 3D Integration for Power-Efficient Computing [p. 779]
Dutt, N.
PDF icon Modeling and Analysis of Fault-tolerant Distributed Memories for Networks-on-Chip [p. 1605]
Dwarkadas, S.
PDF icon Verifying Safety and Liveness for the FlexTM Hybrid Transactional Memory [p. 785]

E

Earl, J.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Ebrahimi, M.
PDF icon CARS: Congestion-Aware Request Scheduler for Network Interfaces in NoC-based Manycore Systems [p. 1048]
PDF icon Fault-Tolerant Routing Algorithm for 3D NoC Using Hamiltonian Path Strategy [p. 1601]
Een, N.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
Eichwald , C.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
El Alaoui, S.
PDF icon Accuracy vs Speed Tradeoffs in the Estimation of Fixed-Point Errors on Linear Time-Invariant Systems [p. 15]
El-Nacouzi, M.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
Elbayoumi, M.
PDF icon A Novel Concurrent Cache-friendly Binary Decision Diagram Construction for Multi-core Platforms [p. 1427]
Eles, P.
PDF icon Dynamic Configuration Prefetching Based on Piecewise Linear Prediction [p. 815]
PDF icon Control-Quality Driven Design of Cyber-Physical Systems with Robustness Guarantees [p. 1093]
PDF icon Optimization of Secure Embedded Systems with Dynamic Task Sets [p. 1765]
Elfadel, I. (A.)
PDF icon Statistical Modeling with the Virtual Source MOSFET Model [p. 1454]
PDF icon Closed-Loop Control for Power and Thermal Management in Multi-core Processors: Formal Methods and Industrial Practice [p. 1879]
ElNainay, M.
PDF icon A Novel Concurrent Cache-friendly Binary Decision Diagram Construction for Multi-core Platforms [p. 1427]
Elshambakey, M.
PDF icon FBLT: A Real-Time Contention Manager with Improved Schedulability [p. 1325]
Enachescu, M.
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
Engels, S.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Erb, D.
PDF icon Accurate QBF-based Test Pattern Generation in Presence of Unknown Values [p. 436]
Ergin, O.
PDF icon Exploiting Replicated Checkpoints for Soft Error Detection and Correction [p. 1494]
Erichsen, A.
PDF icon Topology-Agnostic Fault-Tolerant NoC Routing Method [p. 1595]
Ernst, R.
PDF icon Sensitivity Analysis for Arbitrary Activation Patterns in Real-time Systems [p. 135]
PDF icon Timing Analysis of Multi-Mode Applications on AUTOSAR Conform Multi-Core Systems [p. 302]
PDF icon Formal Analysis of Sporadic Bursts in Real-Time Systems [p. 767]
Evain, S.
PDF icon Scan Design with Shadow Flip-flops for Low Performance Overhead and Concurrent Delay Fault Detection [p. 1077]
Evans, A.
PDF icon Error Detection in Ternary CAMs Using Bloom Filters [p. 1474]
Evmorfopoulos, N.
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
PDF icon A Parallel Fast Transform-Based Preconditioning Approach for Electrical-Thermal Co- Simulation of Power Delivery Networks [p. 1689]

F

Fahmy, S.A.
PDF icon An Approach for Redundancy in FlexRay Networks Using FPGA Partial Reconfiguration [p. 721]
Fair, R.
PDF icon Fault Detection, Real-Time Error Recovery, and Experimental Demonstration for Digital Microfluidic Biochips [p. 559]
Fakih, M.
PDF icon Towards Performance Analysis of SDFGs Mapped to Shared-Bus Architectures Using Model-Checking [p. 1167]
Fallah, F.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Falsafi, B.
PDF icon From Embedded Multi-core SoCs to Scale-out Processors [p. 947]
Farine, P.A.
PDF icon A Sub-μA Power Management Circuit in 0.18μm CMOS for Energy Harvesters [p. 1197]
Farley, B.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Feld, T.
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Feng, Z.
PDF icon Large-Scale Flip-Chip Power Grid Reduction with Geometric Templates [p. 1679]
Fernández, F.
PDF icon Area Optimization on Fixed Analog Floorplans Using Convex Area Functions [p. 1843]
Ferrandi, F.
PDF icon Scheduling Independent Liveness Analysis for Register Binding in High Level Synthesis [p. 1571]
Fettweis, G.
PDF icon Wireless Interconnect for Board and Chip Level [p. 958]
Fey, G.
PDF icon Reliability Analysis Reloaded: How Will We Survive? [p. 358]
PDF icon Improving Fault Tolerance Utilizing Hardware-Software-Co-Synthesis [p. 939]
PDF icon Tuning Dynamic Data Flow Analysis to Support Design Understanding [p. 1179]
Finder, A.
PDF icon Tuning Dynamic Data Flow Analysis to Support Design Understanding [p. 1179]
Fiori, G.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Fiorini, P.
PDF icon Model Predictive Control over Delay-Based Differentiated Services Control Networks [p. 1117]
Firouzi, F.
PDF icon Instruction-Set Extension under Process Variation and Aging Effects [p. 182]
PDF icon Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis [p. 1022]
Fischer, E.
PDF icon Wireless Interconnect for Board and Chip Level [p. 958]
Fischmeister, S.
PDF icon An Open Platform for Mixed-Criticality Real-time Ethernet [p. 153]
Flatresse, P.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
PDF icon UTBB FD-SOI: A Process/Design Symbiosis for Breakthrough Energy-efficiency [p. 952]
Fontanelli, A.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Fornaciari, W.
PDF icon Sensor-wise Methodology to Face NBTI Stress of NoC Buffers [p. 1038]
Fourmigue, A.
PDF icon Explicit Transient Thermal Simulation of Liquid-Cooled 3D ICs [p. 1385]
Fränzle, M.
PDF icon Towards Performance Analysis of SDFGs Mapped to Shared-Bus Architectures Using Model-Checking [p. 1167]
Frehse, S.
PDF icon Improving Fault Tolerance Utilizing Hardware-Software-Co-Synthesis [p. 939]
Freitas, L.S.
PDF icon On-the-fly Verification of Memory Consistency with Concurrent Relaxed Scoreboards [p. 631]
Fuhrmann, I.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Fujita, S.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Fummi, F.
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]

G

Gabrielli, G.
PDF icon MALEC: A Multiple Access Low Energy Cache [p. 368]
Gaillardon, P.-E.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
PDF icon Biconditional BDD: A Novel Canonical BDD for Logic Synthesis Targeting XOR-rich Circuits [p. 1014]
Galilée, B.
PDF icon Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications [p. 1185]
Galissot, M.
PDF icon Self-aware Cyber-physical Systems and Applications in Smart Buildings and Cities [p. 1149]
Gallo, L.
PDF icon Efficient and Scalable OpenMP-based System-level Design [p. 988]
Gang, S.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Gangadharan, D.
PDF icon Quality-Aware Media Scheduling on MPSoC Platforms [p. 976]
Ganguly, A.
PDF icon Energy-Efficient Multicore Chip Design through Cross-Layer Approach [p. 725]
Gao, Y.
PDF icon Using Explicit Output Comparisons for Fault Tolerant Scheduling (FTS) on Modern High-Performance Processors [p. 927]
PDF icon A New Paradigm for Trading Off Yield, Area and Performance to Enhance Performance per Wafer [p. 1753]
Gardner, D.S.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Garg, S.
PDF icon Cherry-Picking: Exploiting Process Variations in Dark-Silicon Homogeneous Chip Multi-Processors [p. 39]
PDF icon Low Cost Permanent Fault Detection Using Ultra-Reduced Instruction Set Co-Processors [p. 933]
Gautier, T.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Gelado, I.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]
Georgiadis, N.
PDF icon Switch Folding: Network-on-Chip Routers with Time-Multiplexed Output Ports [p. 344]
Ghaida, R.S.
PDF icon Role of Design in Multiple Patterning: Technology Development, Design Enablement and Process Control [p. 314]
Gherman, V.
PDF icon Scan Design with Shadow Flip-flops for Low Performance Overhead and Concurrent Delay Fault Detection [p. 1077]
Ghiribaldi, A.
PDF icon A Transition-Signaling Bundled Data NoC Switch Architecture for Cost-effective GALS Multicore Systems [p. 332]
Ghoreishizadeh, S.S.
PDF icon Electronic Implants: Power Delivery and Management [p. 1540]
Ghosh, S.
PDF icon Automated Determination of Top Level Control Signals [p. 509]
Gielen, G.
PDF icon Stochastic Degradation Modeling and Simulation for Analog Integrated Circuits in Nanometer CMOS [p. 326]
PDF icon A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks [p. 1431]
PDF icon Extracting Analytical Nonlinear Models from Analog Circuits by Recursive Vector Fitting of Transfer Function Trajectories [p. 1448]
Ginosar, R.
PDF icon Metastability Challenges for 65nm and Beyond; Simulation and Measurements [p. 1297]
Girão, G.
PDF icon Exploring Resource Mapping Policies for Dynamic Clustering on NoC-based MPSoCs [p. 681]
PDF icon Modeling and Analysis of Fault-tolerant Distributed Memories for Networks-on-Chip [p. 1605]
Girard, P.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Girard , S.
PDF icon A Gate Level Methodology for Efficient Statistical Leakage Estimation in Complex 32nm Circuits [p. 1056]
Giraud, B.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Giraud, O.-A.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Gizopoulos, D.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
Glaß, M.
PDF icon Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults [p. 1621]
Gogolla, M.
PDF icon Towards a Generic Verification Methodology for System Models [p. 1193]
Gomez-Prado, D.
PDF icon FPGA Latency Optimization Using System-level Transformations and DFG Restructuring [p. 1553]
Gomony, M.D.
PDF icon Architecture and Optimal Configuration of a Real-Time Multi-Channel Memory Controller [p. 1307]
Goodacre, J.
PDF icon From Embedded Multi-core SoCs to Scale-out Processors [p. 947]
Goossens K.
PDF icon System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs [p. 236]
PDF icon Conservative Open-Page Policy for Mixed Time-Criticality Memory Controllers [p. 525]
PDF icon Architecture and Optimal Configuration of a Real-Time Multi-Channel Memory Controller [p. 1307]
Goossens, S.
PDF icon Conservative Open-Page Policy for Mixed Time-Criticality Memory Controllers [p. 525]
Gordillo-Gonzales, V.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Goswami, D.
PDF icon Compositional Analysis of Switched Ethernet Topologies [p. 1099]
PDF icon Multirate Controller Design for Resource- and Schedule-Constrained Automotive ECUs [p. 1123]
Goultiaeva, A.
PDF icon Bridging the Gap between Dual Propagation and CNF-based QBF Solving [p. 811]
Grani, P.
PDF icon Contrasting Wavelength-Routed Optical NoC Topologies for Power-Efficient 3D-Stacked Multicore Processors Using Physical-Layer Analysis [p. 1589]
Grasset, A.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
Green, K.
PDF icon Innovative Energy Storage Solutions for Future Electromobility in Smart Cities [p. 1730]
Greim, M.
PDF icon A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot [p. 1331]
Grellert, M.
PDF icon Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder [p. 125]
Grimm, C.
PDF icon Ultra-Low Power: An EDA Challenge [p. 483]
Gross, K.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]
Große, D.
PDF icon Scalable Fault Localization for SystemC TLM Designs [p. 35]
Grover, A.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Grüttner, K.
PDF icon Towards Performance Analysis of SDFGs Mapped to Shared-Bus Architectures Using Model-Checking [p. 1167]
Gu, Z.
PDF icon PT-AMC: Integrating Preemption Thresholds into Mixed-Criticality Scheduling [p. 141]
Guan, N.
PDF icon FIFO Cache Analysis for WCET Estimation: A Quantitative Approach [p. 296]
Guerre, A.
PDF icon ARTM: A Lightweight Fork-join Framework for Many-core Embedded Systems [p. 1510]
Guido, G.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
Gunalp, O.
PDF icon Self-aware Cyber-physical Systems and Applications in Smart Buildings and Cities [p. 1149]
Güntzel, J.L.
PDF icon Fast and Efficient Lagrangian Relaxation-Based Discrete Gate Sizing [p. 1855]
Guo, J.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
PDF icon Low Cost Power Failure Protection for MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer [p. 859]
Gupta, P.
PDF icon SlackProbe: A Low Overhead In Situ On-line Timing Slack Monitoring Methodology [p. 282]
PDF icon Role of Design in Multiple Patterning: Technology Development, Design Enablement and Process Control [p. 314]
Gupta, R.
PDF icon Utility-Aware Deferred Load Balancing in the Cloud Driven by Dynamic Pricing of Electricity [p. 262]
PDF icon Variation-tolerant OpenMP Tasking on Tightly-coupled Processor Clusters [p. 541]
PDF icon Hierarchically Focused Guardbanding: An Adaptive Approach to Mitigate PVT Variations and Aging [p. 1695]
Gupta, S.K.
PDF icon Using Explicit Output Comparisons for Fault Tolerant Scheduling (FTS) on Modern High-Performance Processors [p. 927]
PDF icon Trojan Detection via Delay Measurements: A New Approach to Select Paths and Vectors to Maximize Effectiveness and Minimize Cost [p. 1265]
Gurgen, L.
PDF icon Self-aware Cyber-physical Systems and Applications in Smart Buildings and Cities [p. 1149]
Guth, C.
PDF icon Fast and Efficient Lagrangian Relaxation-Based Discrete Gate Sizing [p. 1855]
Guthmuller, E.
PDF icon 3D Integration for Power-Efficient Computing [p. 779]

H

Hagemeyer, J.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Hakim, N.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Hamdioui, S.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
Hameed, F.
PDF icon Adaptive Cache Management for a Combined SRAM and DRAM Cache Hierarchy for Multi-cores [p. 77]
Han, K.
PDF icon Compiling Control-Intensive Loops for CGRAs with State-Based Full Predication [p. 1579]
Han, Y.
PDF icon SmartCap: User Experience-Oriented Power Adaptation for Smartphone's Application Processor [p. 57]
Hank, P.
PDF icon Automotive Ethernet: In-vehicle Networking and Smart Mobility [p. 1735]
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
Hara-Azumi, Y.
PDF icon Instruction-Set Extension under Process Variation and Aging Effects [p. 182]
Haratsch, E.
PDF icon Threshold Voltage Distribution in MLC NAND Flash Memory: Characterization, Analysis and Modeling [p. 1285]
Harris, W.R.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Hasan, O.
PDF icon Formal Analysis of Steady State Errors in Feedback Control Systems Using HOL-Light [p. 1423]
Haugou, G.
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
He, X.
PDF icon Utilizing Voltage-Frequency Islands in C-to-RTL Synthesis for Streaming Applications [p. 992]
Heidmann, N.
PDF icon Reliability Analysis for Integrated Circuit Amplifiers Used in Neural Measurement Systems [p. 713]
Heine, D.
PDF icon Configurability in IP Subsystems: Baseband Examples [p. 163]
Heisswolf, J.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
Heitz, M.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Hellwege, N.
PDF icon Reliability Analysis for Integrated Circuit Amplifiers Used in Neural Measurement Systems [p. 713]
Helmstetter, C.
PDF icon Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications [p. 1185]
Henkel, J.
PDF icon Self-Adaptive Hybrid Dynamic Power Management for Many-Core Systems [p. 51]
PDF icon Adaptive Cache Management for a Combined SRAM and DRAM Cache Hierarchy for Multi-cores [p. 77]
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
PDF icon Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder [p. 125]
PDF icon Fast and Accurate Cache Modeling in Source-Level Simulation of Embedded Software [p. 587]
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
PDF icon DANCE: Distributed Application-aware Node Configuration Engine in Shared Reconfigurable Sensor Networks [p. 839]
PDF icon Pipelets: Self-Organizing Software Pipelines for Many-Core Architctures [p. 1516]
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Hermida, R.
PDF icon Multispeculative Additive Trees in High-Level Synthesis [p. 188]
Herndl, T.
PDF icon Alternative Power Supply Concepts for Self-Sufficient Wireless Sensor Nodes by Energy Harvesting [p. 481]
Herrero, E.
PDF icon Capturing Vulnerability Variations for Register Files [p. 1468]
Hillebrecht, S.
PDF icon Accurate QBF-based Test Pattern Generation in Presence of Unknown Values [p. 436]
Hills, G.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Hiromoto, M.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
Hoeffmann, J.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Hofer, G.
PDF icon Alternative Power Supply Concepts for Self-Sufficient Wireless Sensor Nodes by Energy Harvesting [p. 481]
Hofmann, K.
PDF icon Fast and Optimized Task Allocation Method for Low Vertical Link Density 3-Dimensional Networks-on-Chip Based Many Core Systems [p. 1777]
Hollstein, T.
PDF icon Fast and Optimized Task Allocation Method for Low Vertical Link Density 3-Dimensional Networks-on-Chip Based Many Core Systems [p. 1777]
Holweg, G.
PDF icon Alternative Power Supply Concepts for Self-Sufficient Wireless Sensor Nodes by Energy Harvesting [p. 481]
Hong, S.
PDF icon AVICA: An Access-time Variation Insensitive L1 Cache Architecture [p. 65]
Hong, T.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Hsiao, M.
PDF icon LFSR Seed Computation and Reduction Using SMT-Based Fault-Chaining [p. 1071]
PDF icon A Novel Concurrent Cache-friendly Binary Decision Diagram Construction for Multi-core Platforms [p. 1427]
Hsiao, S.-W.
PDF icon Periodic Jitter and Bounded Uncorrelated Jitter Decomposition Using Incoherent Undersampling [p. 1667]
Hsieh, C.-M.
PDF icon DANCE: Distributed Application-aware Node Configuration Engine in Shared Reconfigurable Sensor Networks [p. 839]
Hsu, B.-N.
PDF icon Fault Detection, Real-Time Error Recovery, and Experimental Demonstration for Digital Microfluidic Biochips [p. 559]
Hu, J.
PDF icon Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems [p. 599]
Hu, K.
PDF icon Fault Detection, Real-Time Error Recovery, and Experimental Demonstration for Digital Microfluidic Biochips [p. 559]
Hu, K.
PDF icon High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization [p. 1271]
Hu, S.
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]
Hu, X.
PDF icon Orchestrator: A Low-cost Solution to Reduce Voltage Emergencies for Multi-threaded Applications [p. 208]
Hu, X.S.
PDF icon Utilizing Voltage-Frequency Islands in C-to-RTL Synthesis for Streaming Applications [p. 992]
PDF icon Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling [p. 1373]
PDF icon Systematic Design of Nanomagnet Logic Circuits [p. 1795]
Hu, Y.
PDF icon Orchestrator: A Low-cost Solution to Reduce Voltage Emergencies for Multi-threaded Applications [p. 208]
PDF icon Capturing Post-Silicon Variation by Layout-aware Path-delay Testing [p. 288]
Huang, C,-Yi
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Huang, C.-C.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
Huang, K.
PDF icon Energy Optimization with Worst-Case Deadline Guarantee for Pipelined Multiprocessor Systems [p. 45]
Huang, Ke
PDF icon Handling Discontinuous Effects in Modeling Spatial Correlation of Wafer-level Analog/RF Tests [p. 553]
Huang, P.-Y.
PDF icon NUMANA: A Hybrid Numerical and Analytical Thermal Simulator for 3-D ICs [p. 1379]
Huang, S.-H.
PDF icon Co-Synthesis of Data Paths and Clock Control Paths for Minimum-Period Clock Gating [p. 1831]
Huang, X.
PDF icon A Fast and Efficient DFT for Test and Diagnosis of Power Switches in SoCs [p. 1089]
Huang, Y.-H.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Huang, Z.
PDF icon A Dynamic Self-Adaptive Correction Method for Error Resilient Application [p. 943]

I

Iannaccone, G.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Idgunji, S.
PDF icon Memory Array Protection: Check on Read or Check on Write? [p. 214]
Ienne, P.
PDF icon Accuracy vs Speed Tradeoffs in the Estimation of Fixed-Point Errors on Linear Time-Invariant Systems [p. 15]
PDF icon Phoenix: Reviving MLC Blocks as SLC to Extend NAND Flash Devices Lifetime [p. 226]
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
Im, S.
PDF icon Optimized Scheduling of Multi-IMA Partitions with Exclusive Region for Synchronized Real- Time Multi-Core Systems [p. 970]
Imagawa, T.
PDF icon A Cost-Effective Selective TMR for Heterogeneous Coarse-Grained Reconfigurable Architectures Based on DFG-Level Vulnerability Analysis [p. 701]
Irick, K.
PDF icon Saliency Aware Display Power Management [p. 1203]
Iyer, S.
PDF icon Mempack: An Order of Magnitude Reduction in the Cost, Risk, and Time for Memory Compiler Certification [p. 1490]

J

Jafari, R.
PDF icon An Ultra-Low Power Hardware Accelerator Architecture for Wearable Computers Using Dynamic Time Warping [p. 913]
Jahn, J.
PDF icon Pipelets: Self-Organizing Software Pipelines for Many-Core Architctures [p. 1516]
Jain, J.
PDF icon Optimizing BDDs for Time-Series Dataset Manipulation [p. 1018]
Jain, R.
PDF icon Automated Determination of Top Level Control Signals [p. 509]
Jan, M.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Janin, Y.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Jeong, Y.
PDF icon Fast Shared On-Chip Memory Architecture for Efficient Hybrid Computing with CGRAs [p. 1575]
Jerger, N. E.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
Jézéquel, M.
PDF icon Parameterized Area-efficient Multi-standard Turbo Decoder [p. 109]
PDF icon Statically-scheduled Application-specific Processor Design: A Case-study on MMSE MIMO Equalization [p. 677]
Jha, S.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Ji, W.
PDF icon A Work-Stealing Scheduling Framework Supporting Fault Tolerance [p. 695]
Jiang, K.
PDF icon Optimization of Secure Embedded Systems with Dynamic Task Sets [p. 1765]
Jimenez, X.
PDF icon Phoenix: Reviving MLC Blocks as SLC to Extend NAND Flash Devices Lifetime [p. 226]
Jinglin, S.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Johann, M. O.
PDF icon Fast and Efficient Lagrangian Relaxation-Based Discrete Gate Sizing [p. 1855]
John, R.
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
PDF icon Semiconductor Technologies for Smart Mobility Management [p. 1749]
Jooya, A.
PDF icon Using Synchronization Stalls in Power-aware Accelerators [p. 400]
Jose, J.
PDF icon DeBAR: Deflection Based Adaptive Router with Minimal Buffering [p. 1583]
Joshi, S.
PDF icon A Gate Level Methodology for Efficient Statistical Leakage Estimation in Complex 32nm Circuits [p. 1056]
Juan, D.-C.
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
Juan, H.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Jung, W.
PDF icon Runtime Power Estimation of Mobile AMOLED Displays [p. 61]

K

Kae-Nune, N.
PDF icon Qualification and Testing Process to Implement Anti-Counterfeiting Technologies into IC Packages [p. 1131]
Kahng, A. B.
PDF icon Active-Mode Leakage Reduction with Data-Retained Power Gating [p. 1209]
PDF icon Impact of Adaptive Voltage Scaling on Aging-Aware Signoff [p. 1683]
PDF icon Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems [p. 1861]
Kalbarczyk, Z. T.
PDF icon Reliability Analysis Reloaded: How Will We Survive? [p. 358]
Kalligeros, E.
PDF icon Switch Folding: Network-on-Chip Routers with Time-Multiplexed Output Ports [p. 344]
Kamal, M.
PDF icon An Efficient Network-on-Chip Architecture Based on Isolating Local and Non-Local Communications [p. 350]
Kang, S.
PDF icon Active-Mode Leakage Reduction with Data-Retained Power Gating [p. 1209]
Kappel, R.
PDF icon Alternative Power Supply Concepts for Self-Sufficient Wireless Sensor Nodes by Energy Harvesting [p. 481]
Karri, R.
PDF icon Is Split Manufacturing Secure? [p. 1259]
Karsli, B.
PDF icon Exploiting Replicated Checkpoints for Soft Error Detection and Correction [p. 1494]
Kasapaki, E.
PDF icon An Area-efficient Network Interface for a TDM-based Network-on-Chip [p. 1044]
Kastner, R.
PDF icon A Practical Testing Framework for Isolating Hardware Timing Channels [p. 1281]
Katoen, J.-P.
PDF icon Model-Based Energy Optimization of Automotive Control Systems [p. 761]
Kaushik, A.M.
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Kavousianos, X.
PDF icon Testing for SoCs with Advanced Static and Dynamic Power-Management Capabilities [p. 737]
Kawoosa, M.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Kazmierski, T.J.
PDF icon DoE-based Performance Optimization of Energy Management in Sensor Nodes Powered by Tunable Energy-Harvesters [p. 484]
Keinert, J.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Kershaw, D.
PDF icon MALEC: A Multiple Access Low Energy Cache [p. 368]
Khan, M.U.K.
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
PDF icon Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder [p. 125]
Khan, O.
PDF icon MARTHA: Architecture for Control and Emulation of Power Electronics and Smart Grid Systems [p. 519]
Khatri, S.P.
PDF icon Exploring Topologies for a Source-synchronous Ring-based Network-on-Chip [p. 1026]
PDF icon Crosstalk Avoidance Codes for 3D VLSI [p. 1673]
Kiaei, S.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Kiamehr, S.
PDF icon Instruction-Set Extension under Process Variation and Aging Effects [p. 182]
PDF icon Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis [p. 1022]
Kim, D.
PDF icon Runtime Power Estimation of Mobile AMOLED Displays [p. 61]
Kim, J.
PDF icon Capital Cost-Aware Design and Partial Shading-Aware Architecture Optimization of a Reconfigurable Photovoltaic System [p. 909]
Kim, J.
PDF icon Configurability in IP Subsystems: Baseband Examples [p. 163]
Kim, J.
PDF icon An Integrated Approach for Managing the Lifetime of Flash-Based SSDs [p. 1522]
Kim, J.
PDF icon Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters [p. 1345]
Kim, J.-E.
PDF icon Optimized Scheduling of Multi-IMA Partitions with Exclusive Region for Synchronized Real- Time Multi-Core Systems [p. 970]
PDF icon Holistic Design Parameter Optimization of Multiple Periodic Resources in Hierarchical Scheduling [p. 1313]
Kim, K.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Kim, M.
PDF icon ClockPUF: Physical Unclonable Functions Based on Clock Networks [p. 422]
Kim, S.
PDF icon AVICA: An Access-time Variation Insensitive L1 Cache Architecture [p. 65]
Kim, T.
PDF icon An Integrated Approach for Managing the Lifetime of Flash-Based SSDs [p. 1522]
Kinsy, M.A.
PDF icon MARTHA: Architecture for Control and Emulation of Power Electronics and Smart Grid Systems [p. 519]
Kizu, T.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Klawitter, S.
PDF icon Timing Analysis of Multi-Mode Applications on AUTOSAR Conform Multi-Core Systems [p. 302]
Kloos, J.
PDF icon Supervisor Synthesis for Controller Upgrades [p. 1105]
Knoll, A.
PDF icon Energy Optimization with Worst-Case Deadline Guarantee for Pipelined Multiprocessor Systems [p. 45]
PDF icon Bounding SDRAM Interference: Detailed Analysis vs. Latency-Rate Analysis [p. 308]
Koc, F.
PDF icon Exploiting Replicated Checkpoints for Soft Error Detection and Correction [p. 1494]
Kocabas, Ü.
PDF icon Memristor PUFs: A New Generation of Memory-based Physically Unclonable Functions [p. 428]
Kochte, M.A.
PDF icon Accurate QBF-based Test Pattern Generation in Presence of Unknown Values [p. 436]
Kodaka, T.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Koeberl, P.
PDF icon Memristor PUFs: A New Generation of Memory-based Physically Unclonable Functions [p. 428]
Kondratyev, A.
PDF icon Share with Care: A Quantitative Evaluation of Sharing Approaches in High-level Synthesis [p. 1547]
Korf, S.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Kornaros, G.
PDF icon From Embedded Multi-core SoCs to Scale-out Processors [p. 947]
Kosmidis, L.
PDF icon A Cache Design for Probabilistically Analysable Real-time Systems [p. 513]
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]
Koushanfar, F.
PDF icon ClockPUF: Physical Unclonable Functions Based on Clock Networks [p. 422]
PDF icon High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization [p. 1271]
Kozyrakis, C.
PDF icon Resource Efficient Computing for Warehouse-scale Datacenters [p. 1351]
Kreiter, A.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Kriebel, F.
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Kriegel, K.
PDF icon Semiconductor Technologies for Smart Mobility Management [p. 1749]
Krishna, T.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
Krishnaswamy, S.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Kuehlmann, A.
PDF icon QF_BV Model Checking with Property Directed Reachability [p. 791]
Kuhlmann, M.
PDF icon Towards a Generic Verification Methodology for System Models [p. 1193]
Kumar, Y.B., C.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Kumar, A.
PDF icon Reliability-Driven Task Mapping for Lifetime Extension of Networks-on-Chip Based Multiprocessor Systems [p. 689]
PDF icon Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults [p. 1631]
Kumar, J.A.
PDF icon Runtime Verification of Nonlinear Analog Circuits Using Incremental Time-Augmented RRT Algorithm [p. 21]
Kumar, K.
PDF icon DeBAR: Deflection Based Adaptive Router with Minimal Buffering [p. 1583]
Kumar, P.
PDF icon A Satisfiability Approach to Speed Assignment for Distributed Real-Time Systems [p. 749]
Kumar, R.
PDF icon Crosstalk Avoidance Codes for 3D VLSI [p. 1673]
Kundur, V.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Kupp, N.
PDF icon Handling Discontinuous Effects in Modeling Spatial Correlation of Wafer-level Analog/RF Tests [p. 553]
Kursun, E.
PDF icon Thermomechanical Stress-Aware Management for 3D IC Designs [p. 1255]

L

Lagraa, S.
PDF icon Data Mining MPSoC Simulation Traces to Identify Concurrent Memory Access Patterns [p. 755]
Lai, L.
PDF icon SlackProbe: A Low Overhead In Situ On-line Timing Slack Monitoring Methodology [p. 282]
Landau, L.
PDF icon Wireless Interconnect for Board and Chip Level [p. 958]
Lang, W.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Lavagno, L.
PDF icon Share with Care: A Quantitative Evaluation of Sharing Approaches in High-level Synthesis [p. 1547]
Le Beux, S.
PDF icon Optical Look Up Table [p. 873]
Le Coz, J.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Le Guernic, P.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Le, H.M.
PDF icon Scalable Fault Localization for SystemC TLM Designs [p. 35]
Leblebici, Y.
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Lecomte, S.
PDF icon SoC Low-Power Practices for Wireless Applications [p. 778]
Lederberger, M.
PDF icon Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters [p. 1345]
Ledochowitsch, P.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Leduc, P.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Lee, C.-H.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
Lee, C.-J.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
Lee, C.-R.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Lee, C.-S.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Lee, J.
PDF icon Fast Shared On-Chip Memory Architecture for Efficient Hybrid Computing with CGRAs [p. 1575]
PDF icon Compiling Control-Intensive Loops for CGRAs with State-Based Full Predication [p. 1579]
Lee, S.
PDF icon An Integrated Approach for Managing the Lifetime of Flash-Based SSDs [p. 1522]
Lee, W.
PDF icon Design of Low Energy, High Performance Synchronous and Asynchronous 64-Point FFT [p. 242]
Lee, Y.-M.
PDF icon NUMANA: A Hybrid Numerical and Analytical Thermal Simulator for 3-D ICs [p. 1379]
Lefter, M.
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
Legrand, A.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Lehner, W.
PDF icon Energy-Efficient In-Memory Database Computing [p. 470]
Lehner, W.
PDF icon Energy-Efficient In-Memory Database Computing [p. 470]
Lemaire, R.
PDF icon HW-SW Integration for Energy-Efficient/Variability-Aware Computing [p. 607]
Lepley, T.
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
Lesperance, N.
PDF icon Mutation Analysis with Coverage Discounting [p. 31]
Letartre, X.
PDF icon Optical Look Up Table [p. 873]
Lhuillier, Y.
PDF icon An Efficient and Flexible Hardware Support for Accelerating Synchronization Operations on the STHORM Many-Core Architecture [p. 531]
PDF icon ARTM: A Lightweight Fork-join Framework for Many-core Embedded Systems [p. 1510]
Li, H.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
PDF icon STT-RAM Designs Supporting Dual-Port Accesses [p. 853]
Li, J.
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Li, J.
PDF icon ClockPUF: Physical Unclonable Functions Based on Clock Networks [p. 422]
Li, J.
PDF icon Multi-level Phase Analysis for Sampling Simulation [p. 649]
Li, M.
PDF icon A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug [p. 485]
Li, Q.
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Li, Q.
PDF icon Machine Learning-based Anomaly Detection for Post-silicon Bug Diagnosis [p. 491]
Li, S.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Li, S.
PDF icon Utilizing Voltage-Frequency Islands in C-to-RTL Synthesis for Streaming Applications [p. 992]
Li, S.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
Li, T.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
Li, X.
PDF icon SmartCap: User Experience-Oriented Power Adaptation for Smartphone's Application Processor [p. 57]
PDF icon Orchestrator: A Low-cost Solution to Reduce Voltage Emergencies for Multi-threaded Applications [p. 208]
PDF icon Capturing Post-Silicon Variation by Layout-aware Path-delay Testing [p. 288]
Li, Y.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Li, Y.Z.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
Li, Z.
PDF icon Optical Look Up Table [p. 873]
Li, Z.
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]
Liang, H.
PDF icon A Dynamic Self-Adaptive Correction Method for Error Resilient Application [p. 943]
Lifa, A.
PDF icon Dynamic Configuration Prefetching Based on Piecewise Linear Prediction [p. 815]
Lin, B.
PDF icon Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems [p. 1861]
Lin, C.-C.
PDF icon PAGE: Parallel Agile Genetic Exploration towards Utmost Performance for Analog Circuit Design [p. 1849]
Lin, C.-T.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
Lin, D.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
Lin, R.-B.
PDF icon Slack Budgeting and Slack to Length Converting for Multi-Bit Flip-Flop Merging [p. 1837]
Lin, X.
PDF icon Optimal Control of a Grid-Connected Hybrid Electrical Energy Storage System for Homes [p. 881]
PDF icon Capital Cost-Aware Design and Partial Shading-Aware Architecture Optimization of a Reconfigurable Photovoltaic System [p. 909]
Lindwer, M.
PDF icon High-performance Imaging Subsystems and Their Integration in Mobile Devices [p. 170]
Lisherness, P.
PDF icon Mutation Analysis with Coverage Discounting [p. 31]
Liu, C.-N.J.
PDF icon Automatic Circuit Sizing Technique for the Analog Circuits with Flexible TFTs Considering Process Variation and Bending Effects [p. 1458]
Liu, H.
PDF icon Radar Signature in Multiple Target Tracking System for Driver Assistant Application [p. 887]
Liu, S.S.-Y.
PDF icon Effective Power Network Prototyping via Statistical-Based Clustering and Sequential Linear Programming [p. 1701]
PDF icon A Network-Flow Based Algorithm for Power Density Mitigation at Post-Placement Stage [p. 1707]
Liu, X.-X.
PDF icon A Power-Driven Thermal Sensor Placement Algorithm for Dynamic Thermal Management [p. 1215]
Liu, Y.
PDF icon SPaC: A Segment-based Parallel Compression for Backup Acceleration in Nonvolatile Processors [p. 865]
PDF icon Utilizing Voltage-Frequency Islands in C-to-RTL Synthesis for Streaming Applications [p. 992]
Liu, Y.-Y.
PDF icon Dual-addressing Memory Architecture for Two-dimensional Memory Access Patterns [p. 71]
Livramento, V.S.
PDF icon Fast and Efficient Lagrangian Relaxation-Based Discrete Gate Sizing [p. 1855]
Liyanage, L.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Loiacono, C.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
PDF icon Optimization Techniques for Craig Interpolant Compaction in Unbounded Model Checking [p. 1417]
Lombardot, A.
PDF icon A Gate Level Methodology for Efficient Statistical Leakage Estimation in Complex 32nm Circuits [p. 1056]
López, P.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Lorente, V.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Lorquet, V.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Lotfian, R.
PDF icon An Ultra-Low Power Hardware Accelerator Architecture for Wearable Computers Using Dynamic Time Warping [p. 913]
Lu, C.-C.
PDF icon Slack Budgeting and Slack to Length Converting for Multi-Bit Flip-Flop Merging [p. 1837]
Lu, G.-R.
PDF icon Automatic Circuit Sizing Technique for the Analog Circuits with Flexible TFTs Considering Process Variation and Bending Effects [p. 1458]
Lu, K.
PDF icon Fast Cache Simulation for Host-Compiled Simulation of Embedded Software [p. 637]
PDF icon Analytical Timing Estimation for Temporally Decoupled TLMs Considering Resource Conflicts [p. 1161]
PDF icon A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot [p. 1331]
Lu, S.(J.)
PDF icon Run-time Probabilistic Detection of Miscalibrated Thermal Sensors in Many-core Systems [p. 1395]
Lu, Y.
PDF icon Retiming for Soft Error Minimization under Error-Latching Window Constraints [p. 1008]
Ludwig, F.
PDF icon Low Complexity QR-Decomposition Architecture Using the Logarithmic Number System [p. 97]
Lukasiewycz, M.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
PDF icon An Approach for Redundancy in FlexRay Networks Using FPGA Partial Reconfiguration [p. 721]
PDF icon Priority Assignment for Event-triggered Systems Using Mathematical Programming [p. 982]
Luo, R.-G.
PDF icon A Network-Flow Based Algorithm for Power Density Mitigation at Post-Placement Stage [p. 1707]
Lv, M.
PDF icon FIFO Cache Analysis for WCET Estimation: A Quantitative Approach [p. 296]
Lyras, G.
PDF icon Hypervised Transient SPICE Simulations of Large Netlists & Workloads on Multi-Processor Systems [p. 655]

M

Ma, Y.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Macii, E.
PDF icon HW-SW Integration for Energy-Efficient/Variability-Aware Computing [p. 607]
PDF icon A Verilog-A Model for Reconfigurable Logic Gates Based on Graphene pn-Junctions [p. 877]
Mader, R.
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Madison, A.
PDF icon Fault Detection, Real-Time Error Recovery, and Experimental Demonstration for Digital Microfluidic Biochips [p. 559]
Magarshack, P.
PDF icon UTBB FD-SOI: A Process/Design Symbiosis for Breakthrough Energy-efficiency [p. 952]
Magno, M.
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
Mahapatra, R.N.
PDF icon Exploring Topologies for a Source-synchronous Ring-based Network-on-Chip [p. 1026]
Maharbiz, M.M.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Mai, K.
PDF icon Threshold Voltage Distribution in MLC NAND Flash Memory: Characterization, Analysis and Modeling [p. 1285]
Majumdar, R.
PDF icon Supervisor Synthesis for Controller Upgrades [p. 1105]
Makris, Y.
PDF icon Handling Discontinuous Effects in Modeling Spatial Correlation of Wafer-level Analog/RF Tests [p. 553]
PDF icon AVF-driven Parity Optimization for MBU Protection of In-core Memory Arrays [p. 1480]
Malburg, J.
PDF icon Tuning Dynamic Data Flow Analysis to Support Design Understanding [p. 1179]
Malik, S.
PDF icon Extracting Useful Computation from Error-Prone Processors for Streaming Applications [p. 202]
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Mandal, A.
PDF icon Exploring Topologies for a Source-synchronous Ring-based Network-on-Chip [p. 1026]
Mandon, S.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Maneglia, Y.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Maniatakos, M.
PDF icon AVF-driven Parity Optimization for MBU Protection of In-core Memory Arrays [p. 1480]
Marangozova-Martin, V.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Maraninchi, F.
PDF icon System-Level Modeling of Energy in TLM for Early Validation of Power and Thermal Management [p. 1609]
Marculescu, D.
PDF icon Cherry-Picking: Exploiting Process Variations in Dark-Silicon Homogeneous Chip Multi-Processors [p. 39]
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
Marculescu, R.
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
PDF icon Closed-Loop Control for Power and Thermal Management in Multi-core Processors: Formal Methods and Industrial Practice [p. 1879]
Margull, U.
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Maric, B.
PDF icon Efficient Cache Architectures for Reliable Hybrid Voltage Operation Using EDC Codes [p. 917]
Maricau, E.
PDF icon Stochastic Degradation Modeling and Simulation for Analog Integrated Circuits in Nanometer CMOS [p. 326]
Markov, I L.
PDF icon ClockPUF: Physical Unclonable Functions Based on Clock Networks [p. 422]
Marnari, A.
PDF icon A Parallel Fast Transform-Based Preconditioning Approach for Electrical-Thermal Co- Simulation of Power Delivery Networks [p. 1689]
Marongiu, A.
PDF icon Variation-tolerant OpenMP Tasking on Tightly-coupled Processor Clusters [p. 541]
PDF icon Enabling Fine-Grained OpenMP Tasking on Tightly-Coupled Shared Memory Clusters [p. 1504]
Marques-Silva, J.
PDF icon Core Minimization in SAT-based Abstraction [p. 1411]
Martin, F.
PDF icon Configurable IO Integration to Reduce System-on-Chip Time to Market: DDR, PCIe Examples [p. 169]
Martin, G.
PDF icon Configurability in IP Subsystems: Baseband Examples [p. 163]
Martonosi, M.
PDF icon Extracting Useful Computation from Error-Prone Processors for Streaming Applications [p. 202]
Marzani, A.
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
Masrur, A.
PDF icon Compositional Analysis of Switched Ethernet Topologies [p. 1099]
PDF icon Multirate Controller Design for Resource- and Schedule-Constrained Automotive ECUs [p. 1123]
Massey, T.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Mathew, J.
PDF icon A Fast and Efficient DFT for Test and Diagnosis of Power Switches in SoCs [p. 1089]
Matsumoto, N.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Mazzeo, A.
PDF icon Efficient and Scalable OpenMP-based System-level Design [p. 988]
Mazzocca, N.
PDF icon Efficient and Scalable OpenMP-based System-level Design [p. 988]
Méhaut, J.-F.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Meijer, I.
PDF icon Roadmap towards Ultimately-Efficient Zeta-Scale Datacenters [p. 1339]
Meiklejohn, S.
PDF icon A Practical Testing Framework for Isolating Hardware Timing Channels [p. 1281]
Melpignano, D.
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
Memik, S.O.
PDF icon Multispeculative Additive Trees in High-Level Synthesis [p. 188]
Mendis, J.M.
PDF icon Multispeculative Additive Trees in High-Level Synthesis [p. 188]
Mendler, M.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Menhorn, B.
PDF icon Event Density Analysis for Event Triggered Control Systems [p. 1111]
Mercer, S.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Merli, D.
PDF icon Comprehensive Analysis of Software Countermeasures against Fault Attacks [p. 404]
Merrett, G.
PDF icon DoE-based Performance Optimization of Energy Management in Sensor Nodes Powered by Tunable Energy-Harvesters [p. 484]
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
Meyer, M.
PDF icon Share with Care: A Quantitative Evaluation of Sharing Approaches in High-level Synthesis [p. 1547]
Michael, M.K.
PDF icon AVF-driven Parity Optimization for MBU Protection of In-core Memory Arrays [p. 1480]
Michaels, T.
PDF icon Sensitivity Analysis for Arbitrary Activation Patterns in Real-time Systems [p. 135]
Michel, B.
PDF icon Roadmap towards Ultimately-Efficient Zeta-Scale Datacenters [p. 1339]
Milano, M.
PDF icon Sustainable Energy Policies: Research Challenges and Opportunities [p. 1143]
Miller, M.
PDF icon Formal Verification of Analog Circuit Parameters across Variation Utilizing SAT [p. 1442]
Milojevic, D.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Milor, L.
PDF icon System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms [p. 1615]
Miro-Panades, I.
PDF icon 3D Integration for Power-Efficient Computing [p. 779]
Miryrala, S.
PDF icon A Verilog-A Model for Reconfigurable Logic Gates Based on Graphene pn-Junctions [p. 877]
Mishchenko, A.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
PDF icon Core Minimization in SAT-based Abstraction [p. 1411]
Mishra, B.
PDF icon A Sub-μA Power Management Circuit in 0.18μm CMOS for Energy Harvesters [p. 1197]
Mishra, V.
PDF icon Placement Optimization of Power Supply Pads Based on Locality [p. 1655]
Mitcheson, P.D.
PDF icon Adaptable, High Performance Energy Harvesters [p. 482]
Mitra, S.
PDF icon Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) [p. 320]
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Mittal, R.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Miwa, S.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Miyamori, T.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Mock, R.
PDF icon Interactions of Large Scale EV Mobility and Virtual Power Plants [p. 1725]
Mohana, Y.
PDF icon Statically-scheduled Application-specific Processor Design: A Case-study on MMSE MIMO Equalization [p. 677]
Mohanram, K.
PDF icon Mempack: An Order of Magnitude Reduction in the Cost, Risk, and Time for Memory Compiler Certification [p. 1490]
Molina, M.C.
PDF icon Multispeculative Additive Trees in High-Level Synthesis [p. 188]
Moll, F.
PDF icon Design and Implementation of an Adaptive Proactive Reconfiguration Technique for SRAM Caches [p. 1303]
Monat, C.
PDF icon Optical Look Up Table [p. 873]
Monchiero, M.
PDF icon Capturing Vulnerability Variations for Register Files [p. 1468]
Montazeri, M.
PDF icon A Verilog-A Model for Reconfigurable Logic Gates Based on Graphene pn-Junctions [p. 877]
Mony, H.
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
Moolenaar, D.
PDF icon Configurability in IP Subsystems: Baseband Examples [p. 163]
Moraes, F.
PDF icon Topology-Agnostic Fault-Tolerant NoC Routing Method [p. 1595]
Moreno, J.
PDF icon Ultra-Low Power: An EDA Challenge [p. 483]
Moritz, G.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Moscatelli, A.
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
Moshovos, A.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
PDF icon Characterizing the Performance Benefits of Fused CPU/GPU Systems Using FusionSim [p. 685]
Motika, C.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Moy, M.
PDF icon Parallel Programming with SystemC for Loosely Timed Models: A Non-Intrusive Approach [p. 9]
PDF icon Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications [p. 1185]
PDF icon System-Level Modeling of Energy in TLM for Early Validation of Power and Thermal Management [p. 1609]
Moya, J.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]
Müller, S.
PDF icon Automotive Ethernet: In-vehicle Networking and Smart Mobility [p. 1735]
Mueller-Gritschneder, D.
PDF icon Fast Cache Simulation for Host-Compiled Simulation of Embedded Software [p. 637]
PDF icon Analytical Timing Estimation for Temporally Decoupled TLMs Considering Resource Conflicts [p. 1161]
PDF icon A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot [p. 1331]
PDF icon A Spectral Clustering Approach to Application-Specific Network-on-Chip Synthesis [p. 1783]
Mukhopadhyay, S.
PDF icon Perceptual Quality Preserving SRAM Architecture for Color Motion Pictures [p. 103]
Muller, R.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Muradore, R.
PDF icon Model Predictive Control over Delay-Based Differentiated Services Control Networks [p. 1117]
Murray, J.
PDF icon Energy-Efficient Multicore Chip Design through Cross-Layer Approach [p. 725]
Murugappa, P.
PDF icon Parameterized Area-efficient Multi-standard Turbo Decoder [p. 109]
Mushtaq, H.
PDF icon Efficient Software-Based Fault Tolerance Approach on Multicore Platforms [p. 921]
Mutlu, O.
PDF icon Threshold Voltage Distribution in MLC NAND Flash Memory: Characterization, Analysis and Modeling [p. 1285]
Mutyam, M.
PDF icon DeBAR: Deflection Based Adaptive Router with Minimal Buffering [p. 1583]

N

Naga, N.S.J.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Nahas, J.
PDF icon Systematic Design of Nanomagnet Logic Circuits [p. 1795]
Nakada, T.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Nakamura, H.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Nakamura, Y.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
Nalla, P.
PDF icon GLA: Gate-Level Abstraction Revisited [p. 1399]
Narayanan, V.
PDF icon Saliency Aware Display Power Management [p. 1203]
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Nassif, S.
PDF icon Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis [p. 1022]
Nath, S.
PDF icon Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems [p. 1861]
Navas, B.
PDF icon The RecoBlock SoC Platform: A Flexible Array of Reusable Run-Time-Reconfigurable IP-Blocks [p. 833]
Nayak, B.
PDF icon DeBAR: Deflection Based Adaptive Router with Minimal Buffering [p. 1583]
Ndreu, L.
PDF icon Memory Array Protection: Check on Read or Check on Write? [p. 214]
Negrean, M.
PDF icon Timing Analysis of Multi-Mode Applications on AUTOSAR Conform Multi-Core Systems [p. 302]
PDF icon Formal Analysis of Sporadic Bursts in Real-Time Systems [p. 767]
Neukirchner, M.
PDF icon Sensitivity Analysis for Arbitrary Activation Patterns in Real-time Systems [p. 135]
Niaki, S.H.A.
PDF icon An Automated Parallel Simulation Flow for Heterogeneous Embedded Systems [p. 27]
Niar, S.
PDF icon Radar Signature in Multiple Target Tracking System for Driver Assistant Application [p. 887]
Nicolaidis, M.
PDF icon Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes [p. 129]
Nicolescu, G.
PDF icon Explicit Transient Thermal Simulation of Liquid-Cooled 3D ICs [p. 1385]
Nicopoulos, C.
PDF icon Switch Folding: Network-on-Chip Routers with Time-Multiplexed Output Ports [p. 344]
Niemier, M.
PDF icon Systematic Design of Nanomagnet Logic Circuits [p. 1795]
Nikdast, M.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Nikolaou, P.
PDF icon Memory Array Protection: Check on Read or Check on Write? [p. 214]
Noel, J.P.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Noguchi, H.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Noll, T.
PDF icon Model-Based Energy Optimization of Automotive Control Systems [p. 761]
Noll, T.G.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Nomura, K.
PDF icon D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM / MRAM Hybrid Memory [p. 1813]
Novo, D.
PDF icon Accuracy vs Speed Tradeoffs in the Estimation of Fixed-Point Errors on Linear Time-Invariant Systems [p. 15]
PDF icon Phoenix: Reviving MLC Blocks as SLC to Extend NAND Flash Devices Lifetime [p. 226]
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
Nowick, S.M.
PDF icon A Transition-Signaling Bundled Data NoC Switch Architecture for Cost-effective GALS Multicore Systems [p. 332]
Nowroz, A.N.
PDF icon High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization [p. 1271]
Nugteren, C.
PDF icon Future of GPGPU Micro-Architectural Parameters [p. 392]

O

O'Connor, I.
PDF icon Optical Look Up Table [p. 873]
Oberg, J.
PDF icon A Practical Testing Framework for Isolating Hardware Timing Channels [p. 1281]
Öberg, J.
PDF icon The RecoBlock SoC Platform: A Flexible Array of Reusable Run-Time-Reconfigurable IP-Blocks [p. 833]
Oboril, F.
PDF icon MTTF-Balanced Pipeline Design [p. 270]
O'Brien, O.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]
Ochi, H.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
PDF icon A Cost-Effective Selective TMR for Heterogeneous Coarse-Grained Reconfigurable Architectures Based on DFG-Level Vulnerability Analysis [p. 701]
Ojail, M.
PDF icon ARTM: A Lightweight Fork-join Framework for Many-core Embedded Systems [p. 1510]
Olivo, J.
PDF icon Electronic Implants: Power Delivery and Management [p. 1540]
Orailoglu, A.
PDF icon Profit Maximization through Process Variation Aware High Level Synthesis with Speed Binning [p. 176]
Ottavi, M.
PDF icon Error Detection in Ternary CAMs Using Bloom Filters [p. 1474]
Oudin, P.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Ozel, M.K.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Özer, E.
PDF icon Memory Array Protection: Check on Read or Check on Write? [p. 214]
Ozev, S.
PDF icon Adaptive Reduction of the Frequency Search Space for Multi-Vdd Digital Circuits [p. 292]
PDF icon Fault Analysis and Simulation of Large Scale Industrial Mixed-Signal Circuits [p. 565]
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]

P

Paci, G.
PDF icon Perpetual and Low-cost Power Meter for Monitoring Residential and Industrial Appliances [p. 1155]
Palena, M.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
Palermo, G.
PDF icon A Meta-Model Assisted Coprocessor Synthesis Framework for Compiler/Architecture Parameters Customization [p. 659]
PDF icon Thermal-Aware Datapath Merging for Coarse-Grained Reconfigurable Processors [p. 1649]
Palit, I.
PDF icon Systematic Design of Nanomagnet Logic Circuits [p. 1795]
Pan, P.-C.
PDF icon PAGE: Parallel Agile Genetic Exploration towards Utmost Performance for Analog Circuit Design [p. 1849]
Pan, X.
PDF icon Ultra-Low Power: An EDA Challenge [p. 483]
Panda, P.R.
PDF icon Space Sensitive Cache Dumping for Post-silicon Validation [p. 497]
Pande, P.
PDF icon Energy-Efficient Multicore Chip Design through Cross-Layer Approach [p. 725]
Paone, E.
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
Papadopoulou, M.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
Papanikolaou, A.
PDF icon Hypervised Transient SPICE Simulations of Large Netlists & Workloads on Multi-Processor Systems [p. 655]
Parameswaran, S.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
PDF icon An Extremely Compact JPEG Encoder for Adaptive Embedded Systems [p. 1063]
Paredes, S.
PDF icon Roadmap towards Ultimately-Efficient Zeta-Scale Datacenters [p. 1339]
Parekhji, R.A.
PDF icon Towards Adaptive Test of Multi-core RF SoCs [p. 743]
Park, B.
PDF icon Active-Mode Leakage Reduction with Data-Retained Power Gating [p. 1209]
Park, J.
PDF icon Non-Speculative Double-Sampling Technique to Increase Energy-Efficiency in a High-Performance Processor [p. 254]
Park, J.-S.
PDF icon An Integrated Approach for Managing the Lifetime of Flash-Based SSDs [p. 1522]
Park, S.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
PDF icon Optimal Control of a Grid-Connected Hybrid Electrical Energy Storage System for Homes [p. 881]
PDF icon 40.4fJ/bit/mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters Embedded within a Mesh NoC in 45nm SOI CMOS [p. 1637]
Pasini, P.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
Pasricha, K.
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Patel, H.D.
PDF icon Low Cost Permanent Fault Detection Using Ultra-Reduced Instruction Set Co-Processors [p. 933]
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Paterna, F.
PDF icon Mitigating Dark Silicon Problems Using Superlattice-based Thermoelectric Coolers [p. 1391]
Patti, D.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
Paul, S.
PDF icon Low Complexity QR-Decomposition Architecture Using the Logarithmic Number System [p. 97]
PDF icon Reliability Analysis for Integrated Circuit Amplifiers Used in Neural Measurement Systems [p. 713]
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Pawelzik, K.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Pedersen, M.R.
PDF icon High-performance Imaging Subsystems and Their Integration in Mobile Devices [p. 170]
Pedram, M.
Creating a Sustainable Information and Communication Infrastructure [p. 2]
PDF icon An Efficient Network-on-Chip Architecture Based on Isolating Local and Non-Local Communications [p. 350]
PDF icon Optimal Control of a Grid-Connected Hybrid Electrical Energy Storage System for Homes [p. 881]
PDF icon Capital Cost-Aware Design and Partial Shading-Aware Architecture Optimization of a Reconfigurable Photovoltaic System [p. 909]
PDF icon Adaptive Thermal Management for Portable System Batteries by Forced Convection Cooling [p. 1225]
PDF icon Reversible Logic Synthesis of k-Input, m-Output Lookup Tables [p. 1235]
Peh, L.-S.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
PDF icon 40.4fJ/bit/mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters Embedded within a Mesh NoC in 45nm SOI CMOS [p. 1637]
Pelloux-Prayer, B.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Penders, J.
PDF icon Addressing the Healthcare Cost Dilemma by Managing Health instead of Managing Illness - An Opportunity for Wearable Wireless Sensors [p. 1537]
Peng, Z.
PDF icon Dynamic Configuration Prefetching Based on Piecewise Linear Prediction [p. 815]
PDF icon Control-Quality Driven Design of Cyber-Physical Systems with Robustness Guarantees [p. 1093]
PDF icon Optimization of Secure Embedded Systems with Dynamic Task Sets [p. 1765]
Perelli, A.
PDF icon Design of an Ultra-low Power Device for Aircraft Structural Health Monitoring [p. 1127]
Pesseguier, S.
PDF icon Qualification and Testing Process to Implement Anti-Counterfeiting Technologies into IC Packages [p. 1131]
Peters-Drolshagen, D.
PDF icon Reliability Analysis for Integrated Circuit Amplifiers Used in Neural Measurement Systems [p. 713]
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Petit, S.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Pétrot, F.
PDF icon Data Mining MPSoC Simulation Traces to Identify Concurrent Memory Access Patterns [p. 755]
Peyrin, T.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Pham-Quoc, C.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
Philippe, J.-M.
PDF icon An Efficient and Flexible Hardware Support for Accelerating Synchronization Operations on the STHORM Many-Core Architecture [p. 531]
Pigorsch, F.
PDF icon Lemma Localization: A Practical Method for Downsizing SMT-Interpolants [p. 1405]
Pinuel, L.
PDF icon Reducing Writes in Phase-Change Memory Environments by Using Efficient Cache Replacement Policies [p. 93]
Piriou, E.
PDF icon A Fast and Accurate Methodology for Power Estimation and Reduction of Programmable Architectures [p. 1054]
Pistor, J.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Plesco, A.
PDF icon Optimizing Remote Accesses for Offloaded Kernels: Application to High-Level Synthesis for FPGA [p. 575]
Plosila, J.
PDF icon CARS: Congestion-Aware Request Scheduler for Network Interfaces in NoC-based Manycore Systems [p. 1048]
PDF icon Fault-Tolerant Routing Algorithm for 3D NoC Using Hamiltonian Path Strategy [p. 1601]
Polian, I.
PDF icon Efficient SAT-based Dynamic Compaction and Relaxation for Longest Sensitizable Paths [p. 448]
Pollex, V.
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Pomeranz, I.
PDF icon On Candidate Fault Sets for Fault Diagnosis and Dominance Graphs of Equivalence Classes [p. 1083]
Poncino, M.
PDF icon A Verilog-A Model for Reconfigurable Logic Gates Based on Graphene pn-Junctions [p. 877]
Pontarelli, S.
PDF icon Error Detection in Ternary CAMs Using Bloom Filters [p. 1474]
Porcarelli, D.
PDF icon Perpetual and Low-cost Power Meter for Monitoring Residential and Industrial Appliances [p. 1155]
Porrmann, M.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Poschmann, A.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Pouyan, P.
PDF icon Design and Implementation of an Adaptive Proactive Reconfiguration Technique for SRAM Caches [p. 1303]
Pradhan, D.K.
PDF icon A Fast and Efficient DFT for Test and Diagnosis of Power Switches in SoCs [p. 1089]
Pratab, D.
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Puri, R.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Puzovic, N.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]

Q

Qazi, M.
PDF icon 40.4fJ/bit/mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters Embedded within a Mesh NoC in 45nm SOI CMOS [p. 1637]
Qian, Z.
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
Qiu, Q.
PDF icon User-Aware Energy Efficient Streaming Strategy for Smartphone Based Video Playback Applications [p. 258]
Qu, G.
PDF icon Design and Implementation of a Group-based RO PUF [p. 416]
Quaglia, D.
PDF icon Model Predictive Control over Delay-Based Differentiated Services Control Networks [p. 1117]
Quer, S.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
Quiñones, E.
PDF icon A Cache Design for Probabilistically Analysable Real-time Systems [p. 513]
PDF icon Probabilistic Timing Analysis on Conventional Cache Designs [p. 603]
Quinton, S.
PDF icon Sensitivity Analysis for Arbitrary Activation Patterns in Real-time Systems [p. 135]
PDF icon Formal Analysis of Sporadic Bursts in Real-Time Systems [p. 767]

R

Rabaey, J.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
Radhakrishnan, S.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
Ragel, R.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
Raghunathan, A.
PDF icon Substitute-and-Simplify: A Unified Design Paradigm for Approximate and Quality Configurable Circuits [p. 1367]
PDF icon DWM-TAPESTRI - An Energy Efficient All-Spin Cache Using Domain Wall Shift Based Writes [p. 1825]
Raghunathan, B.
PDF icon Cherry-Picking: Exploiting Process Variations in Dark-Silicon Homogeneous Chip Multi-Processors [p. 39]
Rahim, F.
PDF icon A Fast and Accurate Methodology for Power Estimation and Reduction of Programmable Architectures [p. 1054]
Rahim, S.
PDF icon A Fast and Accurate Methodology for Power Estimation and Reduction of Programmable Architectures [p. 1054]
Rahimi, A.
PDF icon Variation-tolerant OpenMP Tasking on Tightly-coupled Processor Clusters [p. 541]
PDF icon Hierarchically Focused Guardbanding: An Adaptive Approach to Mitigate PVT Variations and Aging [p. 1695]
Rajendran, J.
PDF icon Is Split Manufacturing Secure? [p. 1259]
Rajovic, N.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]
Rákossy, Z.E.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
Rambo, E.A.
PDF icon On-the-fly Verification of Memory Consistency with Concurrent Relaxed Scoreboards [p. 631]
Ramini, L.
PDF icon Contrasting Wavelength-Routed Optical NoC Topologies for Power-Efficient 3D-Stacked Multicore Processors Using Physical-Layer Analysis [p. 1589]
Ramirez, A.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]
Ramírez, T.
PDF icon Capturing Vulnerability Variations for Register Files [p. 1468]
Rao, W.
PDF icon Defect-Tolerant Logic Hardening for Crossbar-based Nanosystems [p. 1801]
Ravindran, B.
PDF icon FBLT: A Real-Time Contention Manager with Improved Schedulability [p. 1325]
Ray, B.N.B.
PDF icon An Efficient Wirelength Model for Analytical Placement [p. 1711]
Reda, S.
PDF icon High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization [p. 1271]
PDF icon Mitigating Dark Silicon Problems Using Superlattice-based Thermoelectric Coolers [p. 1391]
Reddy, L.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Regazzoni, F.
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
Rehman, S.
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Reichenbach, F.
PDF icon Reliability Analysis Reloaded: How Will We Survive? [p. 358]
Reimann, F.
PDF icon Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults [p. 1621]
Reimer, S.
PDF icon Efficient SAT-based Dynamic Compaction and Relaxation for Longest Sensitizable Paths [p. 448]
Reinig, H.
PDF icon A Spectral Clustering Approach to Application-Specific Network-on-Chip Synthesis [p. 1783]
Reinschke, J.
PDF icon Interactions of Large Scale EV Mobility and Virtual Power Plants [p. 1725]
Reisman, D.
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Ren, H.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Renaudin, M.
PDF icon Advances in Asynchronous Logic: From Principles to GALS & NoC, Recent Industry Applications, and Commercial CAD Tools [p. 1715]
Rettberg, A.
PDF icon Towards Performance Analysis of SDFGs Mapped to Shared-Bus Architectures Using Model-Checking [p. 1167]
Rezine, A.
PDF icon Verifying Safety and Liveness for the FlexTM Hybrid Transactional Memory [p. 785]
Rico, A.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]
Rico, J.
PDF icon Wireless Sensor Network Simulation for Security and Performance Analysis [p. 432]
Ricossa, S.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
Riedel, M. D.
PDF icon Using Cubes of Non-state Variables with Property Directed Reachability [p. 807]
Riener, H.
PDF icon Improving Fault Tolerance Utilizing Hardware-Software-Co-Synthesis [p. 939]
Rizk, M.
PDF icon Statically-scheduled Application-specific Processor Design: A Case-study on MMSE MIMO Equalization [p. 677]
Robert, C.
PDF icon A Sub-μA Power Management Circuit in 0.18μm CMOS for Energy Harvesters [p. 1197]
Roche, P.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Rodopoulos, D.
PDF icon Hypervised Transient SPICE Simulations of Large Netlists & Workloads on Multi-Processor Systems [p. 655]
Rodriguez González, S.
PDF icon Innovative Energy Storage Solutions for Future Electromobility in Smart Cities [p. 1730]
Rodríguez-Rodríguez, R.
PDF icon Reducing Writes in Phase-Change Memory Environments by Using Efficient Cache Replacement Policies [p. 93]
Rogachev, A.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Rosenstiel, W.
PDF icon Shared Memory Aware MPSoC Software Deployment [p. 1771]
Rotermund, D.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Roux, D.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Roy, K.
PDF icon Substitute-and-Simplify: A Unified Design Paradigm for Approximate and Quality Configurable Circuits [p. 1367]
PDF icon DWM-TAPESTRI - An Energy Efficient All-Spin Cache Using Domain Wall Shift Based Writes [p. 1825]
Roy, S.
PDF icon Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach [p. 1032]
Rubio, A.
PDF icon Design and Implementation of an Adaptive Proactive Reconfiguration Technique for SRAM Caches [p. 1303]
Ruch, P.
PDF icon Roadmap towards Ultimately-Efficient Zeta-Scale Datacenters [p. 1339]
Ruggiero, M.
PDF icon Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters [p. 1345]
Rust, J.
PDF icon Low Complexity QR-Decomposition Architecture Using the Logarithmic Number System [p. 97]
Rutzig, M.B.
PDF icon A Transparent and Energy Aware Reconfigurable Multiprocessor Platform for Simultaneous ILP and TLP Exploitation [p. 1559]

S

Saboori, E.
PDF icon Hybrid Prototyping of Multicore Embedded Systems [p. 1627]
Sacchetto, D.
PDF icon Vertically-Stacked Double-Gate Nanowire FETs with Controllable Polarity: From Devices to Regular ASICs [p. 625]
Sadeghi, A.-R.
PDF icon Memristor PUFs: A New Generation of Memory-based Physically Unclonable Functions [p. 428]
Saeedi, M.
PDF icon Reversible Logic Synthesis of k-Input, m-Output Lookup Tables [p. 1235]
Sagstetter, F.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Sahbi, B.
PDF icon HW-SW Integration for Energy-Efficient/Variability-Aware Computing [p. 607]
Sahuquillo, J.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Sampaio, F.
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
Sanchez, E.
PDF icon On-Line Functionally Untestable Fault Identification in Embedded Processor Cores [p. 1462]
Sanchez, P.
PDF icon Wireless Sensor Network Simulation for Security and Performance Analysis [p. 432]
Sancho, J.
PDF icon Wireless Sensor Network Simulation for Security and Performance Analysis [p. 432]
Sander, I.
PDF icon An Automated Parallel Simulation Flow for Heterogeneous Embedded Systems [p. 27]
PDF icon The RecoBlock SoC Platform: A Flexible Array of Reusable Run-Time-Reconfigurable IP-Blocks [p. 833]
Sangai, A.
PDF icon A SPICE-Compatible Model of Graphene Nano-Ribbon Field-Effect Transistors Enabling Circuit-Level Delay and Power Analysis under Process Variation [p. 1789]
Sangiovanni Vincentelli, A.
PDF icon PANEL: The Heritage of Mead & Conway: What Has Remained the Same, What Was Missed, What Has Changed, What Lies Ahead [p. 171]
PDF icon Dr. Frankenstein's Dream Made Possible: Implanted Electronic Devices [p. 1531]
Sano, T.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Santen, T.
PDF icon Model-Based Energy Optimization of Automotive Control Systems [p. 761]
Santini, T.
PDF icon Exploring Resource Mapping Policies for Dynamic Clustering on NoC-based MPSoCs [p. 681]
Sapatnekar, S.S.
PDF icon Placement Optimization of Power Supply Pads Based on Locality [p. 1655]
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]
Sarangi, S.R.
PDF icon Space Sensitive Cache Dumping for Post-silicon Validation [p. 497]
Sarrazin, S.
PDF icon Scan Design with Shadow Flip-flops for Low Performance Overhead and Concurrent Delay Fault Detection [p. 1077]
Sasaki, S.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Sato, H.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Sato, T.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
PDF icon A Cost-Effective Selective TMR for Heterogeneous Coarse-Grained Reconfigurable Architectures Based on DFG-Level Vulnerability Analysis [p. 701]
Sauer, M.
PDF icon Efficient SAT-based Dynamic Compaction and Relaxation for Longest Sensitizable Paths [p. 448]
Sazeides, Y.
PDF icon Memory Array Protection: Check on Read or Check on Write? [p. 214]
Schellenberg, T.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Schleifer, J.
PDF icon High-Level Modeling and Synthesis for Embedded FPGAs [p. 1565]
Schlichtmann, U.
PDF icon Fast Cache Simulation for Host-Compiled Simulation of Embedded Software [p. 637]
PDF icon Analytical Timing Estimation for Temporally Decoupled TLMs Considering Resource Conflicts [p. 1161]
PDF icon A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot [p. 1331]
PDF icon A Spectral Clustering Approach to Application-Specific Network-on-Chip Synthesis [p. 1783]
Schneider, J.
PDF icon An Extremely Compact JPEG Encoder for Adaptive Embedded Systems [p. 1063]
Schneider, M.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Schneider, R.
PDF icon Compositional Analysis of Switched Ethernet Topologies [p. 1099]
PDF icon Multirate Controller Design for Resource- and Schedule-Constrained Automotive ECUs [p. 1123]
Schoeberl, M.
PDF icon An Area-efficient Network Interface for a TDM-based Network-on-Chip [p. 1044]
Scholl, C.
PDF icon Lemma Localization: A Practical Method for Downsizing SMT-Interpolants [p. 1405]
Schönwald, T.
PDF icon Shared Memory Aware MPSoC Software Deployment [p. 1771]
Schubert, T.
PDF icon Efficient SAT-based Dynamic Compaction and Relaxation for Longest Sensitizable Paths [p. 448]
Schulz, M.
PDF icon Semiconductor Technologies for Smart Mobility Management [p. 1749]
Seidl, M.
PDF icon Bridging the Gap between Dual Propagation and CNF-based QBF Solving [p. 811]
Seifert, D.
PDF icon Model-Based Energy Optimization of Automotive Control Systems [p. 761]
Seiter, J.
PDF icon Determining Relevant Model Elements for the Verification of UML/OCL Specifications [p. 1189]
Seo, S.
PDF icon Fast Shared On-Chip Memory Architecture for Efficient Hybrid Computing with CGRAs [p. 1575]
Sha, E.H.-M.
PDF icon Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems [p. 599]
Sha, L.
PDF icon Optimized Scheduling of Multi-IMA Partitions with Exclusive Region for Synchronized Real- Time Multi-Core Systems [p. 970]
PDF icon Holistic Design Parameter Optimization of Multiple Periodic Resources in Hierarchical Scheduling [p. 1313]
Shafaei, A.
PDF icon Reversible Logic Synthesis of k-Input, m-Output Lookup Tables [p. 1235]
Shafik, R.A.
PDF icon A Fast and Efficient DFT for Test and Diagnosis of Power Switches in SoCs [p. 1089]
Shafique, M.
PDF icon Self-Adaptive Hybrid Dynamic Power Management for Many-Core Systems [p. 51]
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
PDF icon Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder [p. 125]
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
PDF icon CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors [p. 707]
PDF icon Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware [p. 1759]
Shah, H.
PDF icon Bounding SDRAM Interference: Detailed Analysis vs. Latency-Rate Analysis [p. 308]
Shan, T.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Sharad, M.
PDF icon DWM-TAPESTRI - An Energy Efficient All-Spin Cache Using Domain Wall Shift Based Writes [p. 1825]
Sharma, N.
PDF icon A Semi-Canonical Form for Sequential AIGs [p. 797]
Shen, H.
PDF icon User-Aware Energy Efficient Streaming Strategy for Smartphone Based Video Playback Applications [p. 258]
Sheng, X.
PDF icon SPaC: A Segment-based Parallel Compression for Backup Acceleration in Nonvolatile Processors [p. 865]
Sherwood, T.
PDF icon A Practical Testing Framework for Isolating Hardware Timing Channels [p. 1281]
Shi, F.
PDF icon A Work-Stealing Scheduling Framework Supporting Fault Tolerance [p. 695]
Shi, L.
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Shih, H.-C.
PDF icon An Enhanced Double-TSV Scheme for Defect Tolerance in 3D-IC [p. 1486]
Shin, D.
PDF icon Saliency Aware Display Power Management [p. 1203]
PDF icon Adaptive Thermal Management for Portable System Batteries by Forced Convection Cooling [p. 1225]
Shirazi, B.
PDF icon Energy-Efficient Multicore Chip Design through Cross-Layer Approach [p. 725]
Shofner, G.
PDF icon Fault Analysis and Simulation of Large Scale Industrial Mixed-Signal Circuits [p. 565]
Shreejith, S.
PDF icon An Approach for Redundancy in FlexRay Networks Using FPGA Partial Reconfiguration [p. 721]
Shriraman, A.
PDF icon Verifying Safety and Liveness for the FlexTM Hybrid Transactional Memory [p. 785]
Shrivastava, A.
PDF icon Automatic and Efficient Heap Data Management for Limited Local Memory Multicore Architectures [p. 593]
Shulaker, M.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Sigl, G.
PDF icon Comprehensive Analysis of Software Countermeasures against Fault Attacks [p. 404]
Silvano, C.
PDF icon A Meta-Model Assisted Coprocessor Synthesis Framework for Compiler/Architecture Parameters Customization [p. 659]
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
PDF icon Thermal-Aware Datapath Merging for Coarse-Grained Reconfigurable Processors [p. 1649]
Sinanoglu, O.
PDF icon Adaptive Reduction of the Frequency Search Space for Multi-Vdd Digital Circuits [p. 292]
PDF icon Is Split Manufacturing Secure? [p. 1259]
Singh, K.
PDF icon Accurate and Efficient Reliability Estimation Techniques during ADL-Driven Embedded Processor Design [p. 547]
Slomka, F.
PDF icon Event Density Analysis for Event Triggered Control Systems [p. 1111]
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Smith, S.
PDF icon PANEL: Will 3D-IC Remain a Technology of the Future...Even in the Future? [p. 1526]
Smola, M.
PDF icon Comprehensive Analysis of Software Countermeasures against Fault Attacks [p. 404]
Soeken, M.
PDF icon Determining Relevant Model Elements for the Verification of UML/OCL Specifications [p. 1189]
PDF icon Towards a Generic Verification Methodology for System Models [p. 1193]
Sonza Reorda, M.
PDF icon Reliability Analysis Reloaded: How Will We Survive? [p. 358]
PDF icon On-Line Functionally Untestable Fault Identification in Embedded Processor Cores [p. 1462]
Soudris, D.
PDF icon Hypervised Transient SPICE Simulations of Large Netlists & Workloads on Multi-Processor Systems [p. 655]
Sparsø, Jens
PDF icon An Area-efficient Network Interface for a TDM-based Network-on-Chip [p. 1044]
Stamoulis, G.
PDF icon Fast and Accurate BER Estimation Methodology for I/O Links Based on Extreme Value Theory [p. 503]
PDF icon A Parallel Fast Transform-Based Preconditioning Approach for Electrical-Thermal Co- Simulation of Power Delivery Networks [p. 1689]
Stanisic, L.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Steinhorst, S.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
PDF icon Priority Assignment for Event-triggered Systems Using Mathematical Programming [p. 982]
Stergiou, S.
PDF icon Optimizing BDDs for Time-Series Dataset Manipulation [p. 1018]
Sterpone, L.
PDF icon On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems [p. 717]
Stevens, K.S.
PDF icon Design of Low Energy, High Performance Synchronous and Asynchronous 64-Point FFT [p. 242]
Stojanovic, V.
PDF icon Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems [p. 731]
Stroobandt, D.
PDF icon An Automatic Tool Flow for the Combined Implementation of Multi-mode Circuits [p. 821]
Struzyna, M.
PDF icon Sub-Quadratic Objectives in Quadratic Placement [p. 1867]
Stumpf, F.
PDF icon Comprehensive Analysis of Software Countermeasures against Fault Attacks [p. 404]
Su, H.
PDF icon An Elastic Mixed-Criticality Task Model and Its Scheduling Algorithm [p. 147]
Su, Y.
PDF icon Defect-Tolerant Logic Hardening for Crossbar-based Nanosystems [p. 1801]
Subramanian, S.
PDF icon SMART: A Single-Cycle Reconfigurable NoC for SoC Applications [p. 338]
Subramanyan, P.
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Suresh, C.K.H.
PDF icon Adaptive Reduction of the Frequency Search Space for Multi-Vdd Digital Circuits [p. 292]
Susnea, A.
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Swarup, S.
PDF icon A Power-Driven Thermal Sensor Placement Algorithm for Dynamic Thermal Management [p. 1215]
Sze, C.
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]

T

Tagliavini, G.
PDF icon Enabling Fine-Grained OpenMP Tasking on Tightly-Coupled Shared Memory Clusters [p. 1504]
Tahoori, M.
PDF icon Instruction-Set Extension under Process Variation and Aging Effects [p. 182]
PDF icon MTTF-Balanced Pipeline Design [p. 270]
PDF icon Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis [p. 1022]
Takeda, A.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Talpin, J.-P.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Tan, S.X.-D.
PDF icon A Power-Driven Thermal Sensor Placement Algorithm for Dynamic Thermal Management [p. 1215]
Tanabe, J.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Tang, L.-F.
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Taouil, M.
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
Taskin, B.
PDF icon Sparse-Rotary Oscillator Array (SROA) Design for Power and Skew Reduction [p. 1229]
Tasselli, G.
PDF icon A Sub-μA Power Management Circuit in 0.18μm CMOS for Energy Harvesters [p. 1197]
Teich, J.
PDF icon Game-Theoretic Analysis of Decentralized Core Allocation Schemes on Many-Core Systems [p. 1498]
PDF icon Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults [p. 1621]
Teng, Y.
PDF icon Sparse-Rotary Oscillator Array (SROA) Design for Power and Skew Reduction [p. 1229]
Tenhunen, H.
PDF icon CARS: Congestion-Aware Request Scheduler for Network Interfaces in NoC-based Manycore Systems [p. 1048]
Termier, A.
PDF icon Data Mining MPSoC Simulation Traces to Identify Concurrent Memory Access Patterns [p. 755]
Tessier, R.
PDF icon Run-time Probabilistic Detection of Miscalibrated Thermal Sensors in Many-core Systems [p. 1395]
PDF icon FPGA Latency Optimization Using System-level Transformations and DFG Restructuring [p. 1553]
Thabet, F.
PDF icon An Efficient and Flexible Hardware Support for Accelerating Synchronization Operations on the STHORM Many-Core Architecture [p. 531]
Thatcher, A.R.
PDF icon Design of Low Energy, High Performance Synchronous and Asynchronous 64-Point FFT [p. 242]
Theißing, N.
PDF icon Comprehensive Analysis of Software Countermeasures against Fault Attacks [p. 404]
Thery, T.
PDF icon Designing Tightly-coupled Extension Units for the STxP70 Processor [p. 1052]
Thiele, L.
PDF icon A Satisfiability Approach to Speed Assignment for Distributed Real-Time Systems [p. 749]
Thomas, O.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Thomas, P.-X.
PDF icon Configurability in IP Subsystems: Baseband Examples [p. 163]
Thonnart, Y.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Tiefenauer, R.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Tilli, A.
PDF icon SCC Thermal Model Identification via Advanced Bias-Compensated Least-Squares [p. 230]
Tirado, F.
PDF icon Reducing Writes in Phase-Change Memory Environments by Using Efficient Cache Replacement Policies [p. 93]
Tiwari, P.
PDF icon Automated Determination of Top Level Control Signals [p. 509]
Todorov, V.
PDF icon A Spectral Clustering Approach to Application-Specific Network-on-Chip Synthesis [p. 1783]
Todri, A.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Tokuyoshi, T.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Tolstosheeva, E.
PDF icon Development of a Fully Implantable Recording System for ECoG Signals [p. 893]
Torruella, P.
PDF icon A Multi-Level Monte Carlo FPGA Accelerator for Option Pricing in the Heston Model [p. 248]
Trucco, G.
PDF icon Minimization of P-Circuits Using Boolean Relations [p. 996]
Tsay, R.-S.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Tseng, W.-C.
PDF icon Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems [p. 599]
Tsiskaridze, N.
PDF icon Reverse Engineering Digital Circuits Using Functional Analysis [p. 1277]
Tsompanopoulou, P.
PDF icon A Parallel Fast Transform-Based Preconditioning Approach for Electrical-Thermal Co- Simulation of Power Delivery Networks [p. 1689]
Tsui, C.-Y.
PDF icon SVR-NoC: A Performance Analysis Tool for Network-on-Chips Using Learning-based Support Vector Regression Model [p. 354]
Tsutsui, H.
PDF icon Hot-Swapping Architecture with Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array [p. 535]
PDF icon A Cost-Effective Selective TMR for Heterogeneous Coarse-Grained Reconfigurable Architectures Based on DFG-Level Vulnerability Analysis [p. 701]
Tu, W.-P.
PDF icon Co-Synthesis of Data Paths and Clock Control Paths for Minimum-Period Clock Gating [p. 1831]
Turakhia, Y.
PDF icon Cherry-Picking: Exploiting Process Variations in Dark-Silicon Homogeneous Chip Multi-Processors [p. 39]
Tuyls, P.
PDF icon Anti-Counterfeiting with Hardware Intrinsic Security [p. 1137]
Tzou, N.L.
PDF icon Periodic Jitter and Bounded Uncorrelated Jitter Decomposition Using Incoherent Undersampling [p. 1667]

U

ul Hassan, N.
PDF icon Wireless Interconnect for Board and Chip Level [p. 958]
Unsal, O.
PDF icon FaulTM: Error Detection and Recovery Using Hardware Transactional Memory [p. 220]
Unutulmaz, A.
PDF icon Area Optimization on Fixed Analog Floorplans Using Convex Area Functions [p. 1843]
Usman, M.
PDF icon An H.264 Quad-FullHD Low-Latency Intra Video Encoder [p. 115]
PDF icon Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder [p. 125]
Usui, H.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]

V

Vahabi, N.
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
Vaidyanathan, K.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]
Valentian, A.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Valero, A.
PDF icon Combining RAM Technologies for Hard-error Recovery in L1 Data Caches Working at Very-low Power Modes [p. 83]
Valero, M.
PDF icon Efficient Cache Architectures for Reliable Hybrid Voltage Operation Using EDC Codes [p. 917]
van den Braak, G.-J.
PDF icon Future of GPGPU Micro-Architectural Parameters [p. 392]
Van Den Keybus, J.
PDF icon Automotive Ethernet: In-vehicle Networking and Smart Mobility [p. 1735]
van der Leest, V.
PDF icon Anti-Counterfeiting with Hardware Intrinsic Security [p. 1137]
van der Wolf, P.
PDF icon Modular SoC Integration with Subsystems: The Audio Subsystem Case [p. 157]
Van Hoof, C.
PDF icon Addressing the Healthcare Cost Dilemma by Managing Health instead of Managing Illness - An Opportunity for Wearable Wireless Sensors [p. 1537]
Van Kleef, J.
PDF icon Cyborg Insects, Neural Interfaces and Other Things: Building Interfaces between the Synthetic and the Multicellular [p. 1546]
Van Rethy, J.
PDF icon A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks [p. 1431]
Vasudevan, S.
PDF icon Runtime Verification of Nonlinear Analog Circuits Using Incremental Time-Augmented RRT Algorithm [p. 21]
PDF icon Reachability Analysis of Nonlinear Analog Circuits through Iterative Reachable Set Reduction [p. 1436]
Veeravalli, B.
PDF icon Reliability-Driven Task Mapping for Lifetime Extension of Networks-on-Chip Based Multiprocessor Systems [p. 689]
PDF icon Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults [p. 1631]
Velickovic, N.
PDF icon An EDA-Friendly Protection Scheme against Side-Channel Attacks [p. 410]
Vendraminetto, D.
PDF icon Fast Cone-Of-Influence Computation and Estimation in Problems with Multiple Properties [p. 803]
PDF icon Optimization Techniques for Craig Interpolant Compaction in Unbounded Model Checking [p. 1417]
Venkataramani, S.
PDF icon Substitute-and-Simplify: A Unified Design Paradigm for Approximate and Quality Configurable Circuits [p. 1367]
Venkatesan, R.
PDF icon DWM-TAPESTRI - An Energy Efficient All-Spin Cache Using Domain Wall Shift Based Writes [p. 1825]
Vera, X.
PDF icon Capturing Vulnerability Variations for Register Files [p. 1468]
Vermesan, O.
PDF icon Automotive Ethernet: In-vehicle Networking and Smart Mobility [p. 1735]
PDF icon Smart, Connected and Mobile: Architecting Future Electric Mobility Ecosystems [p. 1740]
PDF icon e-Mobility - The Next Frontier for Automotive Industry [p. 1745]
PDF icon Semiconductor Technologies for Smart Mobility Management [p. 1749]
Videau, B.
PDF icon Performance Analysis of HPC Applications on Low-Power Embedded Platforms [p. 475]
Viehl, A.
PDF icon Shared Memory Aware MPSoC Software Deployment [p. 1771]
Vigna, B.
Smart Systems for Internet of Things [p. 1]
Vigouroux, X.
PDF icon What Designs for Coming Supercomputers? [p. 469]
Vij, V.S.
PDF icon Design of Low Energy, High Performance Synchronous and Asynchronous 64-Point FFT [p. 242]
Villa, T.
PDF icon Minimization of P-Circuits Using Boolean Relations [p. 996]
Vinco, S.
PDF icon On the Use of GP-GPUs for Accelerating Compute-intensive EDA Applications [p. 1357]
Vipin, K.
PDF icon An Approach for Redundancy in FlexRay Networks Using FPGA Partial Reconfiguration [p. 721]
Vipond, J.
PDF icon Experiences with Mobile Processors for Energy Efficient HPC [p. 464]
Virazel, A.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Vivet, P.
PDF icon Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications [p. 1185]
PDF icon Advances in Asynchronous Logic: From Principles to GALS & NoC, Recent Industry Applications, and Commercial CAD Tools [p. 1715]
Vogel, B.
PDF icon Self-Adaptive Hybrid Dynamic Power Management for Many-Core Systems [p. 51]
Voicu, G.R.
PDF icon Is TSV-based 3D Integration Suitable for Inter-die Memory Repair? [p. 1251]
von Hanxleden, R.
PDF icon Sequentially Constructive Concurrency - A Conservative Extension of the Synchronous Model of Computation [p. 581]

W

Wachter, E.
PDF icon Topology-Agnostic Fault-Tolerant NoC Routing Method [p. 1595]
Wagner, F.R.
PDF icon Exploring Resource Mapping Policies for Dynamic Clustering on NoC-based MPSoCs [p. 681]
Wagner, M.
PDF icon Efficient Variation-Aware Statistical Dynamic Timing Analysis for Delay Test Applications [p. 276]
Wallander, E.
PDF icon A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot [p. 1331]
Wang, B.
PDF icon 3D Reconfgurable Power Switch Network for Demand-supply Matching between Multi-output Power Converters and Many-core Microprocessors [p. 1643]
Wang, C.
PDF icon TreeFTL: Efficient RAM Management for High Performance of NAND Flash-based Storage Systems [p. 374]
Wang, C.-Y.
PDF icon On Reconfigurable Single-Electron Transistor Arrays Synthesis Using Reordering Techniques [p. 1807]
Wang, H.
PDF icon A Power-Driven Thermal Sensor Placement Algorithm for Dynamic Thermal Management [p. 1215]
Wang, J.
PDF icon OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches [p. 847]
Wang, K.
PDF icon 3D Reconfgurable Power Switch Network for Demand-supply Matching between Multi-output Power Converters and Many-core Microprocessors [p. 1643]
Wang, L.
PDF icon DoE-based Performance Optimization of Energy Management in Sensor Nodes Powered by Tunable Energy-Harvesters [p. 484]
Wang, X.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Wang, Y.
PDF icon Efficient Importance Sampling for High-sigma Yield Analysis with Adaptive Online Surrogate Modeling [p. 1291]
Wang, Y.
PDF icon Optimal Control of a Grid-Connected Hybrid Electrical Energy Storage System for Homes [p. 881]
PDF icon Capital Cost-Aware Design and Partial Shading-Aware Architecture Optimization of a Reconfigurable Photovoltaic System [p. 909]
PDF icon A New Paradigm for Trading Off Yield, Area and Performance to Enhance Performance per Wafer [p. 1753]
Wang, Y.
PDF icon SPaC: A Segment-based Parallel Compression for Backup Acceleration in Nonvolatile Processors [p. 865]
Wang, Y.
PDF icon A Work-Stealing Scheduling Framework Supporting Fault Tolerance [p. 695]
Wang, Z.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Wang, Z.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Wang, Z.
PDF icon Accurate and Efficient Reliability Estimation Techniques during ADL-Driven Embedded Processor Design [p. 547]
Wang, Z.
PDF icon Fast and Accurate Cache Modeling in Source-Level Simulation of Embedded Software [p. 587]
PDF icon DANCE: Distributed Application-aware Node Configuration Engine in Shared Reconfigurable Sensor Networks [p. 839]
Wartell, M.
PDF icon Mempack: An Order of Magnitude Reduction in the Cost, Risk, and Time for Memory Compiler Certification [p. 1490]
Washburn, C.
PDF icon Intuitive ECO Synthesis for High Performance Circuits [p. 1002]
Watanabe, Y.
PDF icon Share with Care: A Quantitative Evaluation of Sharing Approaches in High-level Synthesis [p. 1547]
Weddell, A.S.
PDF icon A Survy of Multi-Source Energy Harvesting Systems [p. 905]
Wehn, N.
PDF icon System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs [p. 236]
PDF icon A Multi-Level Monte Carlo FPGA Accelerator for Option Pricing in the Heston Model [p. 248]
Wei, H.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Wei, L.
PDF icon Statistical Modeling with the Virtual Source MOSFET Model [p. 1454]
Wei, Y.
PDF icon CATALYST: Planning Layer Directives for Effective Design Closure [p. 1873]
Weis, C.
PDF icon System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs [p. 236]
Weldon, M.A.
PDF icon STT-RAM Designs Supporting Dual-Port Accesses [p. 853]
Welp, T.
PDF icon QF_BV Model Checking with Property Directed Reachability [p. 791]
Wen, C.H.-P.
PDF icon Process-Variation-Aware Iddq Diagnosis for Nano-Scale CMOS Designs - The First Step [p. 454]
Wen, S.-J.
PDF icon Error Detection in Ternary CAMs Using Bloom Filters [p. 1474]
Wen, W.
PDF icon DA-RAID-5: A Disturb Aware Data Protection Technique for NAND Flash Storage Systems [p. 380]
Werner, S.
PDF icon Hybrid Interconnect Design for Heterogeneous Hardware Accelerators [p. 843]
Wettin, P.
PDF icon Energy-Efficient Multicore Chip Design through Cross-Layer Approach [p. 725]
Wijtvliet, R.
PDF icon Innovative Energy Storage Solutions for Future Electromobility in Smart Cities [p. 1730]
Wildermann, S.
PDF icon Game-Theoretic Analysis of Decentralized Core Allocation Schemes on Many-Core Systems [p. 1498]
Wille, R.
PDF icon Determining Relevant Model Elements for the Verification of UML/OCL Specifications [p. 1189]
PDF icon Towards a Generic Verification Methodology for System Models [p. 1193]
Wilson, R.
PDF icon Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs [p. 613]
Winemberg, L.
PDF icon Fault Analysis and Simulation of Large Scale Industrial Mixed-Signal Circuits [p. 565]
Wirrer, G.
PDF icon Sufficient Real-Time Analysis for an Engine Control Unit with Constant Angular Velocities [p. 1335]
Wolf, M.
PDF icon Security Challenges in Automotive Hardware/Software Architecture Design [p. 458]
Wong, S.
PDF icon Support for Dynamic Issue Width in VLIW Processors Using Generic Binaries [p. 827]
Wong, W.-F.
PDF icon TreeFTL: Efficient RAM Management for High Performance of NAND Flash-based Storage Systems [p. 374]
Wong, H.-S.P.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Wu, C.-W.
PDF icon An Enhanced Double-TSV Scheme for Defect Tolerance in 3D-IC [p. 1486]
Wu, H.
PDF icon Model-Based Energy Optimization of Automotive Control Systems [p. 761]
Wu, H.-I.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Wu, T.-H.
PDF icon NUMANA: A Hybrid Numerical and Analytical Thermal Simulator for 3-D ICs [p. 1379]
Wu, W.-R.
PDF icon Automatic Circuit Sizing Technique for the Analog Circuits with Flexible TFTs Considering Process Variation and Bending Effects [p. 1458]
Wu, X.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Wunderlich, H.-J.
PDF icon Efficient Variation-Aware Statistical Dynamic Timing Analysis for Delay Test Applications [p. 276]
PDF icon Accurate QBF-based Test Pattern Generation in Presence of Unknown Values [p. 436]

X

Xiang, Y.
PDF icon Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling [p. 1373]
Xiao, Yang
PDF icon Saliency Aware Display Power Management [p. 1203]
Xie, Q.
PDF icon Adaptive Thermal Management for Portable System Batteries by Forced Convection Cooling [p. 1225]
Xie, Y.
PDF icon OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches [p. 847]
PDF icon Future Memory and Interconnect Technologies [p. 964]
PDF icon Thermomechanical Stress-Aware Management for 3D IC Designs [p. 1255]
Xu, H.
PDF icon Development of Low Power Many-Core SoC for Multimedia Applications [p. 773]
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Xu, J.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Xu, Y.
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Xue, C.J.
PDF icon Profit Maximization through Process Variation Aware High Level Synthesis with Speed Binning [p. 176]
PDF icon Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems [p. 599]
PDF icon Multirate Controller Design for Resource- and Schedule-Constrained Automotive ECUs [p. 1123]
PDF icon Cache Coherence Enabled Adaptive Refresh for Volatile STT-RAM [p. 1247]
Xydis, S.
PDF icon A Meta-Model Assisted Coprocessor Synthesis Framework for Compiler/Architecture Parameters Customization [p. 659]
PDF icon Thermal-Aware Datapath Merging for Coarse-Grained Reconfigurable Processors [p. 1649]

Y

Yakovlev, A.
PDF icon Advances in Asynchronous Logic: From Principles to GALS & NoC, Recent Industry Applications, and Commercial CAD Tools [p. 1715]
Yalcin, G.
PDF icon FaulTM: Error Detection and Recovery Using Hardware Transactional Memory [p. 220]
Yan, G.
PDF icon SmartCap: User Experience-Oriented Power Adaptation for Smartphone's Application Processor [p. 57]
PDF icon Orchestrator: A Low-cost Solution to Reduce Voltage Emergencies for Multi-threaded Applications [p. 208]
Yan, L.
PDF icon A Dynamic Self-Adaptive Correction Method for Error Resilient Application [p. 943]
Yang, C.-P.
PDF icon NUMANA: A Hybrid Numerical and Analytical Thermal Simulator for 3-D ICs [p. 1379]
Yang, H.
PDF icon SPaC: A Segment-based Parallel Compression for Backup Acceleration in Nonvolatile Processors [p. 865]
PDF icon Utilizing Voltage-Frequency Islands in C-to-RTL Synthesis for Streaming Applications [p. 992]
Yang, J.
PDF icon Low Cost Power Failure Protection for MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer [p. 859]
PDF icon The Design of Sustainable Wireless Sensor Network Node Using Solar Energy and Phase Change Memory [p. 869]
Yang, X.
PDF icon FIFO Cache Analysis for WCET Estimation: A Quantitative Approach [p. 296]
Yao, J.
PDF icon Efficient Importance Sampling for High-sigma Yield Analysis with Adaptive Online Surrogate Modeling [p. 1291]
Yao, Y.
PDF icon ClockPUF: Physical Unclonable Functions Based on Clock Networks [p. 422]
Yasir Dogan, A.
PDF icon Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms [p. 396]
Ye, J.
PDF icon Capturing Post-Silicon Variation by Layout-aware Path-delay Testing [p. 288]
Ye, Y.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Ye, Z.
PDF icon Efficient Importance Sampling for High-sigma Yield Analysis with Adaptive Online Surrogate Modeling [p. 1291]
Yetim, Y.
PDF icon Extracting Useful Computation from Error-Prone Processors for Streaming Applications [p. 202]
Yi, W.
PDF icon FIFO Cache Analysis for WCET Estimation: A Quantitative Approach [p. 296]
Yilmaz, E.
PDF icon Adaptive Reduction of the Frequency Search Space for Multi-Vdd Digital Circuits [p. 292]
PDF icon Fault Analysis and Simulation of Large Scale Industrial Mixed-Signal Circuits [p. 565]
PDF icon Electrical Calibration of Spring-Mass MEMS Capacitive Accelerometers [p. 571]
Yin, C.-E.
PDF icon Design and Implementation of a Group-based RO PUF [p. 416]
Ying, H.
PDF icon Fast and Optimized Task Allocation Method for Low Vertical Link Density 3-Dimensional Networks-on-Chip Based Many Core Systems [p. 1777]
Yokosawa, A.
PDF icon A Near-Future Prediction Method for Low Power Consumption on a Many-Core Processor [p. 1058]
Yongtao, S.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Yoon, M.-K.
PDF icon Optimized Scheduling of Multi-IMA Partitions with Exclusive Region for Synchronized Real- Time Multi-Core Systems [p. 970]
PDF icon Holistic Design Parameter Optimization of Multiple Periodic Resources in Hierarchical Scheduling [p. 1313]
Yu, F.-W.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Yu, H.
PDF icon 3D Reconfgurable Power Switch Network for Demand-supply Matching between Multi-output Power Converters and Many-core Microprocessors [p. 1643]
Yu, H.
PDF icon Toward Polychronous Analysis and Validation for Timed Software Architectures in AADL [p. 1173]
Yu, L.
PDF icon Statistical Modeling with the Virtual Source MOSFET Model [p. 1454]
Yu, W.
PDF icon GPU-Friendly Floating Random Walk Algorithm for Capacitance Extraction of VLSI Interconnects [p. 1661]
Yue, J.
PDF icon Exploiting Subarrays inside a Bank to Improve Phase Change Memory Performance [p. 386]
Yue, S.
PDF icon Adaptive Thermal Management for Portable System Batteries by Forced Convection Cooling [p. 1225]
Yueh, W.
PDF icon Perceptual Quality Preserving SRAM Architecture for Color Motion Pictures [p. 103]

Z

Zaccaria, V.
PDF icon A Meta-Model Assisted Coprocessor Synthesis Framework for Compiler/Architecture Parameters Customization [p. 659]
PDF icon Improving Simulation Speed and Accuracy for Many-Core Embedded Platforms with Ensemble Models [p. 671]
Zafalon, R.
PDF icon e-Mobility - The Next Frontier for Automotive Industry [p. 1745]
Zakharenko, V.
PDF icon Characterizing the Performance Benefits of Fused CPU/GPU Systems Using FusionSim [p. 685]
Zang, B.
PDF icon Multi-level Phase Analysis for Sampling Simulation [p. 649]
Zapater, M.
PDF icon Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers [p. 266]
Zar, D.M.
PDF icon Metastability Challenges for 65nm and Beyond; Simulation and Measurements [p. 1297]
Zatt, B.
PDF icon Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding [p. 665]
Zebchuk, J.
PDF icon A Dual Grain Hit-Miss Detector for Large Die-Stacked DRAM Caches [p. 89]
Zeng, B.-H.
PDF icon A Critical-Section-Level Timing Synchronization Approach for Deterministic Multi-Core Instruction-Set Simulations [p. 643]
Zeng, H.
PDF icon PT-AMC: Integrating Preemption Thresholds into Mixed-Criticality Scheduling [p. 141]
PDF icon Robust and Extensible Task Implementations of Synchronous Finite State Machines [p. 1319]
Zeppa, G.
PDF icon Time- and Angle-triggered Real-time Kernel [p. 1060]
Zhai, K.
PDF icon GPU-Friendly Floating Random Walk Algorithm for Capacitance Extraction of VLSI Interconnects [p. 1661]
Zhang, C.
PDF icon 3D Reconfgurable Power Switch Network for Demand-supply Matching between Multi-output Power Converters and Many-core Microprocessors [p. 1643]
Zhang, J.
PDF icon Carbon Nanotube Circuits: Opportunities and Challenges [p. 619]
Zhang, L.
PDF icon Compositional Analysis of Switched Ethernet Topologies [p. 1099]
Zhang, M.
PDF icon Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems [p. 731]
Zhang, T.
PDF icon Thermomechanical Stress-Aware Management for 3D IC Designs [p. 1255]
Zhang, T.
PDF icon 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling [p. 1241]
Zhang, W.
PDF icon Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories [p. 1221]
Zhang, W.
PDF icon Multi-level Phase Analysis for Sampling Simulation [p. 649]
Zhang, X.
PDF icon Capturing Post-Silicon Variation by Layout-aware Path-delay Testing [p. 288]
Zhang, Y.
PDF icon Low Cost Power Failure Protection for MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer [p. 859]
PDF icon The Design of Sustainable Wireless Sensor Network Node Using Solar Energy and Phase Change Memory [p. 869]
Zhao, J.
PDF icon Run-time Probabilistic Detection of Miscalibrated Thermal Sensors in Many-core Systems [p. 1395]
Zhao, M.
PDF icon Profit Maximization through Process Variation Aware High Level Synthesis with Speed Binning [p. 176]
Zhao, Q.
PDF icon PT-AMC: Integrating Preemption Thresholds into Mixed-Criticality Scheduling [p. 141]
Zhou, H.
PDF icon Resource-Constrained High-Level Datapath Optimization in ASIP Design [p. 198]
PDF icon Retiming for Soft Error Minimization under Error-Latching Window Constraints [p. 1008]
Zhou, P.
PDF icon The Design of Sustainable Wireless Sensor Network Node Using Solar Energy and Phase Change Memory [p. 869]
Zhou, P.
PDF icon Placement Optimization of Power Supply Pads Based on Locality [p. 1655]
Zhou, Q.
PDF icon Design and Implementation of a Group-based RO PUF [p. 416]
Zhu, D.
PDF icon An Elastic Mixed-Criticality Task Model and Its Scheduling Algorithm [p. 147]
Zhu, Q.
PDF icon Robust and Extensible Task Implementations of Synchronous Finite State Machines [p. 1319]
Zhu, Y.
PDF icon Exploiting Subarrays inside a Bank to Improve Phase Change Memory Performance [p. 386]
Zhu, Y.
PDF icon Verifying Safety and Liveness for the FlexTM Hybrid Transactional Memory [p. 785]
Zhuang, H.
PDF icon GPU-Friendly Floating Random Walk Algorithm for Capacitance Extraction of VLSI Interconnects [p. 1661]
Zhuge, Q.
PDF icon Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems [p. 599]
Ziermann, T.
PDF icon Game-Theoretic Analysis of Decentralized Core Allocation Schemes on Many-Core Systems [p. 1498]
Zimmermann, R.
PDF icon Quality-Aware Media Scheduling on MPSoC Platforms [p. 976]
Ziyuan, Z.
PDF icon A 100 GOPS ASP Based Baseband Processor for Wireless Communication [p. 121]
Zoni, D.
PDF icon Sensor-wise Methodology to Face NBTI Stress of NoC Buffers [p. 1038]
Zordan, L.B.
PDF icon Test Solution for Data Retention Faults in Low-Power SRAMs [p. 442]
Zou, Q.
PDF icon Thermomechanical Stress-Aware Management for 3D IC Designs [p. 1255]
Zuo, Q.
PDF icon A Work-Stealing Scheduling Framework Supporting Fault Tolerance [p. 695]