A Placement Algorithm for Superconducting Logic Circuits Based on Cell Grouping and Super‐Cell Placement

Soheil Nazar Shahsavania, Alireza Shafaeib and Massoud Pedramc
University of Southern California
anazarsha@usc.edu
bshafaeib@usc.edu
cpedram@usc.edu

ABSTRACT


This paper presents a novel clustering based placement algorithm for single flux quantum (SFQ) family of superconductive electronic circuits. In these circuits nearly all cells receive a clock signal and a placement algorithm that ignores the clock routing cost will not produce high quality solutions. To address this issue, proposed approach simultaneously minimizes the total wirelength of the signal nets and area overhead of the clock routing. Furthermore, construction of a perfect H‐tree in SFQ logic circuits is not viable solution due to the resulting very high routing overhead and the in‐feasibility of building exact zero‐skew clock routing trees. Instead a hybrid clock tree must be used whereby higher levels of the clock tree (i.e., those closer to the clock source) are based on H‐tree construction whereas lower levels of the clock tree follow a linear (i.e., chain‐like) structure. The proposed approach is able to reduce the overall half‐perimeter wirelength by 15% and area by 8% compared with state‐of‐the‐art techniques.



Full Text (PDF)