Advances in Testing and Design-for-Test Solutions for M3D Integrated Circuits

Sanmitra Banerjee, Arjun Chaudhuri, Shao-Chun Hung and Krishnendu Chakrabarty
Department of Electrical and Computer Engineering, Duke University, Durham, NC 27708, USA

ABSTRACT


Monolithic 3D (M3D) integration has the potential to achieve significantly higher device density compared to TSVbased 3D stacking. Sequential integration of transistor layers enables high-density vertical interconnects, known as inter-layer vias (ILVs). However, high integration density and aggressive scaling of the inter-layer dielectric make M3D integrated circuits especially prone to process variations and manufacturing defects. We explore the impact of these fabrication imperfections on chip-performance and present the associated test challenges. We introduce two M3D-specific design-for-test solutions – a low-cost built-in self-test architecture for the defect-prone ILVs and a tierlevel fault localization method for yield learning. We describe the impact of defects on the efficiency of delay fault testing and highlight solutions for test generation under constraints imposed by the 3D power distribution network.



Full Text (PDF)