TAP-2.5D: A Thermally-Aware Chiplet Placement Methodology for 2.5D Systems

Yenai Ma1,a, Leila Delshadtehrani1,b, Cansu Demirkiran1,c, José L. Abellán2 and Ajay Joshi1,d
1Boston University
ayenai@bu.edu
bdelshad@bu.edu
ccansu@bu.edu
djoshi@bu.edu
2Catholic University of Murcia
jlabellan@ucam.edu

ABSTRACT


Heterogeneous systems are commonly used today to sustain the historic benefits we have achieved through technology scaling. 2.5D integration technology provides a cost-effective solution for designing heterogeneous systems. The traditional physical design of a 2.5D heterogeneous system closely packs the chiplets to minimize wirelength, but this leads to a thermallyinefficient design. We propose TAP-2.5D: the first open-source network routing and thermally-aware chiplet placement methodology for heterogeneous 2.5D systems. TAP-2.5D strategically inserts spacing between chiplets to jointly minimize the temperature and total wirelength, and in turn, increases the thermal design power envelope of the overall system. We present three case studies demonstrating the usage and efficacy of TAP-2.5D.

Keywords: Heterogeneous 2.5d Systems, Thermally-Aware Placement, Inter-Chiplet Network.



Full Text (PDF)