Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation

Simon Rokickia, Erven Rohoub and Steven Derrienc
Univ Rennes, INRIA, CNRS, IRISA

ABSTRACT


Single ISA‐Heterogeneous multi‐cores such as the ARM big.LITTLE have proven to be an attractive solution to explore different energy/performance trade‐offs. Such architectures combine Out of Order cores with smaller in‐order ones to offer different power/energy profiles. They however do not really exploit the characteristics of workloads (compute‐intensive vs. control dominated). In this work, we propose to enrich these architectures with runtime configurable VLIW cores, which are very efficient at compute‐intensive kernels. To preserve the single ISA programming model, we resort to Dynamic Binary Translation, and use this technique to enable dynamic code specialization for Runtime Reconfigurable VLIWs cores. Our proposed DBT framework targets the RISC‐V ISA, for which both OoO and in‐order implementations exist. Our experimental results show that our approach can lead to best‐case performance and energy efficiency when compared against static VLIW configurations.



Full Text (PDF)