DATE 2012 AUTHOR INDEX

[A] [B] [C] [D] [E] [F] [G] [H] [I] [J] [K] [L] [M] [N] [O] [P] [Q] [R] [S] [T] [U] [V] [W] [X] [Y] [Z]


A

Aasaraai, K
PDF icon Toward Virtualizing Branch Direction Prediction [p. 455]
Abate, F
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Abdallah, L
PDF icon Testing RF Circuits with True Non-Intrusive Built-In Sensors [p. 1090]
Abed, I S
PDF icon Double-Patterning Friendly Grid-Based Detailed Routing with Online Conflict Resolution [p. 1475]
Abelein, U
PDF icon Complexity, Quality and Robustness - The Challenges of Tomorrow's Automotive Electronics [p. 870]
Abellan, J L
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
Aboushady, H
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Abraham, J A
PDF icon On-Chip Source Synchronous Interface Timing Test Scheme with Calibration [p. 1146]
Acacio, M E
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
Acquaviva, A
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Adlkofer, H
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
Adve, S V
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Afzali-Kusha, A
PDF icon An Architecture-Level Approach for Mitigating the Impact of Process Variations on Extensible Processors [p. 467]
Ahn, J H
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
Ahopelto, J
PDF icon Beyond CMOS - Benchmarking for Future Technologies [p. 129]
Aisopos, K
PDF icon PCASA: Probabilistic Control-Adjusted Selective Allocation for Shared Caches [p. 473]
Akbari, S
PDF icon AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs [p. 332]
Akesson, B
PDF icon DRAM Selection and Configuration for Real-Time Mobile Systems [p. 51]
PDF icon Memory-Map Selection for Firm Real-Time SDRAM Controllers [p. 828]
Aksanli, B
PDF icon Benefits of Green Energy and Proportionality in High Speed Wide Area Networks Connecting Data Centers [p. 175]
Aksoy, L
PDF icon Design of Low-Complexity Digital Finite Impulse Response Filters on FPGAs [p. 1197]
Aktouf, O-E-K
PDF icon Evaluation of a New RFID System Performance Monitoring Approach [p. 1439]
Al-Faruque, M A
PDF icon Intelligent and Collaborative Embedded Computing in Automation Engineering [p. 344]
PDF icon Towards Parallel Execution of IEC 61131 Industrial Cyber-Physical Systems Applications [p. 554]
Al-Hashimi, B M
PDF icon Response-surface-based Design Space Exploration and Optimization of Wireless Sensor Nodes with Tunable Energy Harvesters [p. 733]
Almeroth, B
PDF icon Towards A Wireless Medic Smart Card - Invited Paper [p. 1483]
Aloufi, M
PDF icon Response-surface-based Design Space Exploration and Optimization of Wireless Sensor Nodes with Tunable Energy Harvesters [p. 733]
Altet, J
PDF icon Testing RF Circuits with True Non-Intrusive Built-In Sensors [p. 1090]
Alvarez-Herault, J
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Amara, A
PDF icon Stability and Yield-Oriented Ultra-Low-Power Embedded 6T SRAM Cell Design Optimization [p. 93]
Ambrose, A
PDF icon A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up [p. 1283]
Anagnostopoulos, I
PDF icon A Divide and Conquer Based Distributed Run-time Mapping Methodology for Many-Core Platforms [p. 111]
Anderson, J
PDF icon Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug [p. 292]
Anghel, L
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Anis, M
PDF icon AIR (Aerial Image Retargeting): A Novel Technique for In-Fab Automatic Model-Based Retargeting-for-Yield [p. 1603]
Annaswamy, A
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Appleton, E
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Aridhi, H
PDF icon Towards Improving Simulation of Analog Circuits Using Model Order Reduction [p. 1337]
Ascheid, G
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]
Ashouei, M
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
Atienza, D
PDF icon Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation [p. 599]
PDF icon Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems [p. 988]
PDF icon A Hybrid HW-SW Approach for Intermittent Error Mitigation in Streaming-Based Embedded Systems [p. 1110]
Aubert, A
PDF icon Comparison of Self-Timed Ring and Inverter Ring Oscillators as Entropy Sources in FPGAs [p. 1325]
Augustine, C
PDF icon A Framework for Simulating Hybrid MTJ/CMOS Circuits: Atoms to System Approach [p. 1443]
Austin, T
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Avresky, D
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Axer, P
PDF icon Probabilistic Response Time Bound for CAN Messages with Arbitrary Deadlines [p. 1114]
Ayoub, R
PDF icon TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs [p. 593]
Azevedo, J
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]

B

Babayan, E
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Bahl, S
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Bainbridge, W J
PDF icon Area Efficient Asynchronous SDM Routers Using 2-Stage Clos Switches [p. 1495]
Bamakhrama, M A
PDF icon A Methodology for Automated Design of Hard-Real-Time Embedded Streaming Systems [p. 941]
Banerjee, A
PDF icon Formal Methods for Ranking Counterexamples through Assumption Mining [p. 911]
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Baronti, F
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Bartolini, A
PDF icon Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer [p. 181]
Bartzas, A
PDF icon A Divide and Conquer Based Distributed Run-time Mapping Methodology for Many-Core Platforms [p. 111]
Basten, T
PDF icon Playing Games with Scenario- and Resource-Aware SDF Graphs Through Policy Iteration [p. 194]
PDF icon Modeling Static-Order Schedules in Synchronous Dataflow Graphs [p. 775]
Basu, S
PDF icon Correct-by-Construction Multi-Component SoC Design [p. 647]
Bathen, L A D
PDF icon VaMV: Variability-aware Memory Virtualization [p. 284]
PDF icon 3D-FlashMap: A Physical-Location-Aware Block Mapping Strategy for 3D NAND Flash Memory [p. 1307]
Battezzati, N
PDF icon SURF Algorithm in FPGA: A Novel Architecture for High Demanding Industrial Applications [p. 161]
Bauer, L
PDF icon Dynamic Cache Management in Multi-Core Architectures through Run-time Adaptation [p. 485]
PDF icon Partial Online-Synthesis for Mixed-Grained Reconfigurable Architectures [p. 1555]
Baumanns, S
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
Beaumont, M
PDF icon SAFER PATH: Security Architecture Using Fragmented Execution and Replication for Protection against Trojaned Hardware [p. 1000]
Becker, B
PDF icon On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints [p. 418]
PDF icon Verification of Partial Designs Using Incremental QBF Solving [p. 623]
Becker, J
PDF icon A Cycle-Approximate, Mixed-ISA Simulator for the KAHRISMA Architecture [p. 21]
PDF icon On Demand Dependent Deactivation of Automotive ECUs [p. 69]
PDF icon Virtualized On-Chip Distributed Computing for Heterogeneous Reconfigurable Multi-Core Systems [p. 280]
Becker, M
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
Belta, C
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Benini, L
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
PDF icon Fast and Lightweight Support for Nested Parallelism on Cluster-Based Embedded Many-Cores [p. 105]
PDF icon Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer [p. 181]
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
PDF icon A Resilient Architecture for Low Latency Communication in Shared-L1 Processor Clusters [p. 887]
PDF icon P2012: Building an Ecosystem for a Scalable, Modular and High-Efficiency Embedded Computing Accelerator [p. 983]
PDF icon Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations [p. 1102]
PDF icon An Energy Efficient DRAM Subsystem for 3D Integrated SoCs [p. 1138]
Benkner, S
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Benoit, P
PDF icon Amplitude Demodulation-based EM Analysis of Different RSA Implementations [p. 1167]
Berangi, R
PDF icon AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs [p. 332]
Berkelaar, M
PDF icon Transistor-Level Gate Model Based Statistical Timing Analysis Considering Correlations [p. 917]
Beroulle, V
PDF icon Evaluation of a New RFID System Performance Monitoring Approach [p. 1439]
Bertacco, V
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Bertels, K
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Bertozzi, D
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Bertrand, D
PDF icon Challenges and New Trends in Probabilistic Timing Analysis [p. 810]
Beste, M
PDF icon Layout-Driven Robustness Analysis for Misaligned Carbon Nanotubes in CNTFET-based Standard Cells [p. 1609]
Bhardwaj, K
PDF icon An MILP-Based Aging-Aware Routing Algorithm for NoCs [p. 326]
Bhatia, S
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Bi, X
PDF icon Spintronic Memristor Based Temperature Sensor Design with CMOS Current Reference [p. 1301]
Bittner, K
PDF icon Simulation of the Steady State of Oscillators in the Time Domain [p. 1355]
Blech, J O
PDF icon Static Scheduling of a Time-Triggered Network-on-Chip Based on SMT Solving [p. 509]
Blom, H
PDF icon Timing Modeling with AUTOSAR - Current State and Future Directions [p. 805]
Bocca, A
PDF icon Mechatronic System for Energy Efficiency in Bus Transport [p. 342]
Bolchini, C
PDF icon An Adaptive Approach for Online Fault Management in Many-Core Architectures [p. 1429]
Bombieri, N
PDF icon FAST-GP: An RTL Functional Verification Framework Based on Fault Simulation on GP-GPUs [p. 562]
Bonamy, R
PDF icon UPaRC -- Ultra-Fast Power-aware Reconfiguration Controller [p. 1373]
Bonilla, E
PDF icon Predicting Best Design Trade-offs: A Case Study in Processor Customization [p. 1030]
Borde, E
PDF icon Model Driven Resource Usage Simulation for Critical Embedded Systems [p. 312]
Bordoloi, U D
PDF icon A Scalable GPU-based Approach to Accelerate the Multiple-Choice Knapsack Problem [p. 1126]
Bortolotti, D
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
Bose, P
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Bosio, A
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Bovington, J
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Bowman, K
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Bozga, M
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Brachtendorf, H G
PDF icon Simulation of the Steady State of Oscillators in the Time Domain [p. 1355]
Brandl, M
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Brault, J -M
PDF icon NOCEVE: Network On Chip Emulation and Verification Environment [p. 163]
Brayton, R
PDF icon Mapping into LUT Structures [p. 1579]
Brayton, R K
PDF icon Scalable Progress Verification in Credit-Based Flow-Control Systems [p. 905]
Brenner, U
PDF icon VLSI Legalization with Minimum Perturbation by Iterative Augmentation [p. 1385]
Bringmann, O
PDF icon Hybrid Source-Level Simulation of Data Caches Using Abstract Cache Models [p. 376]
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
PDF icon Analysis of Multi-Domain Scenarios for Optimized Dynamic Power Management Strategies [p. 862]
Brisk, P
PDF icon Selective Flexibility: Breaking the Rigidity of Datapath Merging [p. 1543]
Brockman, J B.
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
Brokalakis, A
PDF icon An FPGA-based Parallel Processor for Black-Scholes Option Pricing Using Finite Differences Schemes [p. 709]
Bruening, A
PDF icon Memristor Technology in Future Electronic System Design [p. 592]
PDF icon Panel: What Is EDA Doing for Trailing Edge Technologies? [p. 874]

Brunelli, D
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
Buckl, C
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
PDF icon Static Scheduling of a Time-Triggered Network-on-Chip Based on SMT Solving [p. 509]
Burg, A
PDF icon Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems [p. 988]
Burgio, P
PDF icon Fast and Lightweight Support for Nested Parallelism on Cluster-Based Embedded Many-Cores [p. 105]
Buyuktosunoglu, A
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]

C

Cai, Y
PDF icon Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis [p. 521]
Calazans, N
PDF icon An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design [p. 224]
Calimera, A
PDF icon IR-Drop Analysis of Graphene-Based Power Distribution Networks [p. 81]
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Campagna, S
PDF icon An Hybrid Architecture to Detect Transient Faults in Microprocessors: An Experimental Validation [p. 1433]
Campbell, S A
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Camposano, R
PDF icon Moore Meets Maxwell [p. 1275]
Canedo, A
PDF icon Intelligent and Collaborative Embedded Computing in Automation Engineering [p. 344]
PDF icon Towards Parallel Execution of IEC 61131 Industrial Cyber-Physical Systems Applications [p. 554]
Carloni, L P
PDF icon Compositional System-Level Design Exploration with Planning of High-Level Synthesis [p. 641]
Carr, S B
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Catthoor, F
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
PDF icon A Hybrid HW-SW Approach for Intermittent Error Mitigation in Streaming-Based Embedded Systems [p. 1110]
Cenni, F
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Cha, B
PDF icon Salvaging Chips with Caches beyond Repair [p. 1263]
Chakrabarty, K
PDF icon Test Generation for Clock-Domain Crossing Faults in Integrated Circuits [p. 406]
PDF icon Test Pin Count Reduction for NoC-based Test Delivery in Multicore SOCs [p. 787]
PDF icon A Cyberphysical Synthesis Approach for Error Recovery in Digital Microfluidic Biochips [p. 1239]
Chakraborty, K
PDF icon An MILP-Based Aging-Aware Routing Algorithm for NoCs [p. 326]
Chakraborty, S
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
PDF icon Time-triggered Implementations of Mixed-Criticality Automotive Software [p. 1227]
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Chandrasekar, K
PDF icon A Scan Pattern Debugger for Partial Scan Industrial Designs [p. 558]
Chang, K-H
PDF icon RTL Analysis and Modifications for Improving At-speed Test [p. 400]
Chang, L-P
PDF icon Dual Greedy: Adaptive Garbage Collection for Page-Mapping Solid-State Disks [p. 117]
Chang, N
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
Chang, S-C
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Chang, Y-W
PDF icon Statistical Thermal Modeling and Optimization Considering Leakage Power Variations [p. 605]
Chao, H-L
PDF icon Congestion-Aware Scheduling for NoC-based Reconfigurable Systems [p. 1561]
Chatterjee, D
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Chaturvedi, S
PDF icon Static Analysis of Asynchronous Clock Domain Crossings [p. 1122]
Chatziparaskevas, G
PDF icon An FPGA-based Parallel Processor for Black-Scholes Option Pricing Using Finite Differences Schemes [p. 709]
Chen, C
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
PDF icon Mapping into LUT Structures [p. 1579]
Chen, C-L
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Chen, D
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
Chen, H
PDF icon QBF-Based Boolean Function Bi-Decomposition [p. 816]
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Chen, H-M
PDF icon Agglomerative-Based Flip-Flop Merging with Signal Wirelength Optimization [p. 1391]
PDF icon On Effective Flip-Chip Routing via Pseudo Single Redistribution Layer [p. 1597]
Chen, J
PDF icon A Multi-Parameter Bio-Electric ASIC Sensor with Integrated 2-Wire Data Transmission Protocol for Wearable Healthcare System [p. 443]
Chen, J-J
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Chen, K
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
Chen, L
PDF icon Online Scheduling for Multi-Core Shared Reconfigurable Fabric [p. 582]
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Chen, M-L
PDF icon On Effective Flip-Chip Routing via Pseudo Single Redistribution Layer [p. 1597]
Chen, Q
PDF icon Efficient Variation-Aware EM-Semiconductor Coupled Solver for the TSV Structures in 3D IC [p. 1409]
PDF icon Characterization of the Bistable Ring PUF [p. 1459]
Chen, S-H
PDF icon On Effective Flip-Chip Routing via Pseudo Single Redistribution Layer [p. 1597]
Chen, S-J
PDF icon Congestion-Aware Scheduling for NoC-based Reconfigurable Systems [p. 1561]
Chen, W
PDF icon Out-of-Order Parallel Simulation for ESL Design [p. 141]
Chen, Y
PDF icon 3DHLS: Incorporating High-Level Synthesis in Physical Planning of Three-Dimensional (3D) ICs [p. 1185]
PDF icon Spintronic Memristor Based Temperature Sensor Design with CMOS Current Reference [p. 1301]
PDF icon Asymmetry of MTJ Switching and Its Implication to STT-RAM Designs [p. 1313]
PDF icon Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices [p. 1451]
Chen, Y-C
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Chen, Y-R
PDF icon Congestion-Aware Scheduling for NoC-based Reconfigurable Systems [p. 1561]
Chen, Y-T
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
Cheng, K-T
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Cheng, X
PDF icon Energy-Efficient Branch Prediction with Compiler-Guided History Stack [p. 449]
Cherkaoui, A
PDF icon Comparison of Self-Timed Ring and Inverter Ring Oscillators as Entropy Sources in FPGAs [p. 1325]
Chian, M
PDF icon New Foundry Models - Accelerations in Transformations of the Semiconductor Industry [p. 2]
Chiang, M-F
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Chillet, D
PDF icon UPaRC -- Ultra-Fast Power-aware Reconfiguration Controller [p. 1373]
Choi, K
PDF icon State-based Full Predication for Low Power Coarse-Grained Reconfigurable Architecture [p. 1367]
Chong, S
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Chou, C-N
PDF icon Multi-Patch Generation for Multi-Error Logic Rectification by Interpolation with Cofactor Reduction [p. 1567]
Chou, H-M
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Chou, H-Z
PDF icon RTL Analysis and Modifications for Improving At-speed Test [p. 400]
Choudhary, A
PDF icon Dynamic Directories: A Mechanism for Reducing On-Chip Interconnect Power in Multicores [p. 479]
Chua, L O
PDF icon Memristor Technology in Future Electronic System Design [p. 592]
Chuang, Y-L
PDF icon Statistical Thermal Modeling and Optimization Considering Leakage Power Variations [p. 605]
Cifrain, M
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Clavier, L
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Colazzo, S
PDF icon SURF Algorithm in FPGA: A Novel Architecture for High Demanding Industrial Applications [p. 161]
Condo, C
PDF icon A Network-on-Chip-based Turbo/LDPC Decoder Architecture [p. 1525]
Cong, J
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
PDF icon Combining Module Selection and Replication for Throughput-Driven Streaming Programs [p. 1018]
Constantin, J
PDF icon Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems [p. 988]
Cordes, D
PDF icon Multi-Objective Aware Extraction of Task-Level Parallelism Using Genetic Algorithms [p. 394]
Corporaal, H
PDF icon Playing Games with Scenario- and Resource-Aware SDF Graphs Through Policy Iteration [p. 194]
PDF icon Scheduling for Register File Energy Minimization in Explicit Datapath Architectures [p. 388]
PDF icon Modeling Static-Order Schedules in Synchronous Dataflow Graphs [p. 775]
Cosemans, S
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
Coskun, A K
PDF icon Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer [p. 181]
PDF icon Analysis and Runtime Management of 3D Systems with Stacked DRAM for Boosting Energy Efficiency [p. 611]
PDF icon Reducing the Energy Cost of Computing through Efficient Co-Scheduling of Parallel Workloads [p. 994]
Costa, E
PDF icon Design of Low-Complexity Digital Finite Impulse Response Filters on FPGAs [p. 1197]
Craninckx, J
PDF icon Design of an Intrinsically-Linear Double- VCO-based ADC with 2nd-order Noise Shaping [p. 1215]
Cristal, A
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]
Csaba, G
PDF icon Characterization of the Bistable Ring PUF [p. 1459]
Cucuccio, A
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Cui, T
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Cui, X
PDF icon Modeling and Testing of Interference Faults in the Nano NAND Flash Memory [p. 527]
Cui, Z
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
Cullmann, C
PDF icon Hybrid Source-Level Simulation of Data Caches Using Abstract Cache Models [p. 376]
Cupaiuolo, T
PDF icon A Flexible and Fast Software Implementation of the FFT on the BPE Platform [p. 1467]
Czutro, A
PDF icon On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints [p. 418]

D

Daghar, A
PDF icon Verifying Jitter in an Analog and Mixed Signal Design Using Dynamic Time Warping [p. 1413]
Damavandpeyma, M
PDF icon Modeling Static-Order Schedules in Synchronous Dataflow Graphs [p. 775]
Daneshtalab, M
PDF icon CATRA -Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks [p. 320]
Dang, X
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
Danger, J-L
PDF icon RSM: A Small and Fast Countermeasure for AES, Secure against First- and Second-order Zero-Offset SCAs [p. 1173]
Daniel, L
PDF icon An Efficient Framework for Passive Compact Dynamical Modeling of Multiport Linear Systems [p. 1203]
Darringer, J A
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Das, A
PDF icon Dynamic Directories: A Mechanism for Reducing On-Chip Interconnect Power in Multicores [p. 479]
PDF icon PUF-based Secure Test Wrapper Design for Cryptographic SoC Testing [p. 866]
Das, S
PDF icon PowerAdviser: An RTL Power Platform for Interactive Sequential Optimizations [p. 550]
Dasgupta, P
PDF icon Formal Methods for Ranking Counterexamples through Assumption Mining [p. 911]
Dastgeer, U
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
David, A
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Davoodi, A
PDF icon A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection [p. 1331]
PDF icon Custom On-Chip Sensors for Post-Silicon Failing Path Isolation in the Presence of Process Variations [p. 1591]
De Jonghe, D
PDF icon Hierarchical Analog Circuit Reliability Analysis Using Multivariate Nonlinear Regression and Active Learning Sample Selection [p. 745]
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
De Micheli, G
PDF icon Voltage Propagation Method for 3-D Power Grid Analysis [p. 844]
De Smedt, B
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
De, V
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Defo, G B G
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
Dehaene, W
PDF icon Design of a Low-Energy Data Processing Architecture for WSN Nodes [p. 570]
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
Deniz, E
PDF icon Verification Coverage of Embedded Multicore Applications [p. 252]
Densmore, D
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Desbarbieux, J-I
PDF icon An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design [p. 1549]
Dey, O
PDF icon Virtualized On-Chip Distributed Computing for Heterogeneous Reconfigurable Multi-Core Systems [p. 280]
Di Carlo, S
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Di Guglielmo, G
PDF icon Enabling Dynamic Assertion-based Verification of Embedded Software through Model-driven Design [p. 212]
Di Guglielmo, L
PDF icon Enabling Dynamic Assertion-based Verification of Embedded Software through Model-driven Design [p. 212]
Di Natale, M
PDF icon Task Implementation of Synchronous Finite State Machines [p. 206]
Dilillo, L
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Dimitrakopoulos, G
PDF icon Dynamic-Priority Arbiter and Multiplexer Soft Macros for On-Chip Networks Switches [p. 542]
Doemer, R
PDF icon Out-of-Order Parallel Simulation for ESL Design [p. 141]
Dogan, A Y
PDF icon Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems [p. 988]
Dolinsky, U
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Domic, A
PDF icon Panel: What Is EDA Doing for Trailing Edge Technologies? [p. 874]
Donno, M
PDF icon Mechatronic System for Energy Efficiency in Bus Transport [p. 342]
dos Santos, L C V
PDF icon On ESL Verification of Memory Consistency for System-on-Chip Multiprocessing [p. 9]
Dousti, M J
PDF icon Minimizing the Latency of Quantum Circuits during Mapping to the Ion-Trap Circuit Fabric [p. 840]
Drach, N
PDF icon An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design [p. 1549]
Drechsler, R
PDF icon A Guiding Coverage Metric for Formal Verification [p. 617]
PDF icon Automatic Design of Low-Power Encoders Using Reversible Circuit Synthesis [p. 1036]
PDF icon Debugging of Inconsistent UML/OCL Models [p. 1078]
PDF icon Eliminating Invariants in UML/OCL Models [p. 1142]
Druml, N
PDF icon Estimation Based Power and Supply Voltage Management for Future RF-Powered Multi-Core Smart Cards [p. 358]
Du, K
PDF icon High Performance Reliable Variable Latency Carry Select Addition [p. 1257]
Duan, G
PDF icon Low Power Aging-Aware Register File Design by Duty Cycle Balancing [p. 546]
Dutt, N D
PDF icon VaMV: Variability-aware Memory Virtualization [p. 284]
PDF icon 3D-FlashMap: A Physical-Location-Aware Block Mapping Strategy for 3D NAND Flash Memory [p. 1307]

E

Ebeid, E
PDF icon Refinement of UML/MARTE Models for the Design of Networked Embedded Systems [p. 1072]
Ebrahimi, M
PDF icon CATRA -Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks [p. 320]
PDF icon SCFIT: A FPGA-based Fault Injection Technique for SEU Fault Model [p. 586]
Edwards, D
PDF icon Area Efficient Asynchronous SDM Routers Using 2-Stage Clos Switches [p. 1495]
Een, N
PDF icon Mapping into LUT Structures [p. 1579]
Ejlali, A
PDF icon SCFIT: A FPGA-based Fault Injection Technique for SEU Fault Model [p. 586]
Eklow, B
PDF icon On Effective TSV Repair for 3D-Stacked ICs [p. 793]
Eles, P
PDF icon Co-Design Techniques for Distributed Real-Time Embedded Systems with Communication Security Constraints [p. 947]
PDF icon A Scalable GPU-based Approach to Accelerate the Multiple-Choice Knapsack Problem [p. 1126]
Ellen, C
PDF icon Automatic Transition Between Structural System Views in a Safety Relevant Embedded Systems Development Process [p. 820]
Enescu, F
PDF icon Efficient Gröbner Basis Reductions for Formal Verification of Galois Field Multipliers [p. 899]
Ernst, R
PDF icon Using Timing Analysis for the Design of Future Switched Based Ethernet Automotive Networks [p. 57]
PDF icon A High-Performance Dense Block Matching Solution for Automotive 6D-Vision [p. 268]
PDF icon Formal Analysis of Sporadic Overload in Real-Time Systems [p. 515]
PDF icon Optimizing Performance Analysis for Synchronous Dataflow Graphs with Shared Resources [p. 635]
PDF icon Challenges and New Trends in Probabilistic Timing Analysis [p. 810]
PDF icon Probabilistic Response Time Bound for CAN Messages with Arbitrary Deadlines [p. 1114]
Etzien, C
PDF icon Automatic Transition Between Structural System Views in a Safety Relevant Embedded Systems Development Process [p. 820]
Eusse, J
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]

F

Fabiano, M
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Fahmy, S
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
Fan, M
PDF icon Neighbor-Aware Dynamic Thermal Management for Multi-core Platform [p. 187]
PDF icon Harmonic Semi-Partitioned Scheduling for Fixed-Priority Real-Time Tasks on Multi-Core Platform [p. 503]
Fan, X
PDF icon Exploring Pausible Clocking Based GALS Design for 40-nm System Integration [p. 1118]
Fantechi, G
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Fanucci, L
PDF icon Low-power Embedded System for Real-Time Correction of Fish-Eye Automotive Cameras [p. 340]
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Fatemi, H
PDF icon Sliding-Mode Control to Compensate PVT Variations in Dual Core Systems [p. 1048]
Fathy, M
PDF icon AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs [p. 332]
Faura, D
PDF icon Model Driven Resource Usage Simulation for Critical Embedded Systems [p. 312]
Fernandez, J
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
Ferrari, A
PDF icon Mechatronic System for Energy Efficiency in Bus Transport [p. 342]
Fesquet, L
PDF icon Comparison of Self-Timed Ring and Inverter Ring Oscillators as Entropy Sources in FPGAs [p. 1325]
Fettweis, G
PDF icon Pathways to Servers of the Future - Highly Adaptive Energy Efficient Computing (HAEC) [p. 1161]
PDF icon Towards A Wireless Medic Smart Card - Invited Paper [p. 1483]
Figueras, J
PDF icon Efficiency Evaluation of Parametric Failure Mitigation Techniques for Reliable SRAM Operation [p. 1343]
Fiorini, P
PDF icon Predictive Control of Networked Control Systems over Differentiated Services Lossy Networks [p. 1245]
Firouzi, F
PDF icon NBTI Mitigation by Optimized NOP Assignment and Insertion [p. 218]
Fischer, V
PDF icon Comparison of Self-Timed Ring and Inverter Ring Oscillators as Entropy Sources in FPGAs [p. 1325]
Flamand, E
PDF icon P2012: Building an Ecosystem for a Scalable, Modular and High-Efficiency Embedded Computing Accelerator [p. 983]
Flores, P
PDF icon Design of Low-Complexity Digital Finite Impulse Response Filters on FPGAs [p. 1197]
Fohler, G
PDF icon On-line Scheduling of Target Sensitive Periodic Tasks with the Gravitational Task Model [p. 578]
Fradet, P
PDF icon SPDF: A Schedulable Parametric Data-Flow MoC [p. 769]
Franchi, E
PDF icon Low-power Embedded System for Real-Time Correction of Fish-Eye Automotive Cameras [p. 340]
Fritz, G
PDF icon Evaluation of a New RFID System Performance Monitoring Approach [p. 1439]
Fu, X
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Fuin, D
PDF icon P2012: Building an Ecosystem for a Scalable, Modular and High-Efficiency Embedded Computing Accelerator [p. 983]
Fummi, F
PDF icon Enabling Dynamic Assertion-based Verification of Embedded Software through Model-driven Design [p. 212]
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
PDF icon FAST-GP: An RTL Functional Verification Framework Based on Fault Simulation on GP-GPUs [p. 562]
PDF icon Refinement of UML/MARTE Models for the Design of Networked Embedded Systems [p. 1072]
Furst, J-N
PDF icon Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer [p. 181]

G

Gadkari, A
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Gall, H
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Gamatie, A
PDF icon Design of Streaming Applications on MPSoCs Using Abstract Clocks [p. 763]
Gan, J
PDF icon Robust and Flexible Mapping for Real-time Distributed Applications during the Early Design Phases [p. 935]
Ganguly, R
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Ganta, D
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Gao, J
PDF icon A Clustering-Based Scheme for Concurrent Trace in Debugging NoC-Based Multicore Systems [p. 27]
Gao, M
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Gao, P
PDF icon Design of an Intrinsically-Linear Double- VCO-based ADC with 2nd-order Noise Shaping [p. 1215]
Garcia-Ortiz, A
PDF icon Automatic Design of Low-Power Encoders Using Reversible Circuit Synthesis [p. 1036]
Garside, J
PDF icon Area Efficient Asynchronous SDM Routers Using 2-Stage Clos Switches [p. 1495]
Garudadri, H
PDF icon A Closed-loop System for Artifact Mitigation in Ambulatory Electrocardiogram Monitoring [p. 431]
Gatti, M
PDF icon Model Driven Resource Usage Simulation for Critical Embedded Systems [p. 312]
Gebhard, G
PDF icon Hybrid Source-Level Simulation of Data Caches Using Abstract Cache Models [p. 376]
Geilen, M
PDF icon Playing Games with Scenario- and Resource-Aware SDF Graphs Through Policy Iteration [p. 194]
PDF icon Modeling Static-Order Schedules in Synchronous Dataflow Graphs [p. 775]
Genser, A
PDF icon Estimation Based Power and Supply Voltage Management for Future RF-Powered Multi-Core Smart Cards [p. 358]
Gerdes, M
PDF icon Time Analysable Synchronisation Techniques for Parallelised Hard Real-Time Applications [p. 671]
Ghodrat, M A
PDF icon Optimization Intensive Energy Harvesting [p. 272]
Giegerich, M
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Gielen, G
PDF icon Hierarchical Analog Circuit Reliability Analysis Using Multivariate Nonlinear Regression and Active Learning Sample Selection [p. 745]
PDF icon A Fast Analog Circuit Yield Estimation Method for Medium and High Dimensional Problems [p. 751]
PDF icon Impact of TSV Area on the Dynamic Range and Frame Rate Performance of 3D-Integrated Image Sensors [p. 836]
PDF icon Design of an Intrinsically-Linear Double- VCO-based ADC with 2nd-order Noise Shaping [p. 1215]
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
Girard, P
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Girault, A
PDF icon SPDF: A Schedulable Parametric Data-Flow MoC [p. 769]
Giusto, P
PDF icon Using Timing Analysis for the Design of Future Switched Based Ethernet Automotive Networks [p. 57]
Givargis, T
PDF icon MEDS: Mockup Electronic Data Sheets for Automated Testing of Cyber-Physical Systems Using Digital Mockups [p. 1417]
Glass, M
PDF icon Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach [p. 276]
Goehringer, D
PDF icon Virtualized On-Chip Distributed Computing for Heterogeneous Reconfigurable Multi-Core Systems [p. 280]
Goel, M
PDF icon A High Performance Split-Radix FFT with Constant Geometry Architecture [p. 1537]
Goel, S K
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Gol, E A
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Goldman, R
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Gomony, M D
PDF icon DRAM Selection and Configuration for Real-Time Mobile Systems [p. 51]
Gong, J
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
Goossens, K
PDF icon DRAM Selection and Configuration for Real-Time Mobile Systems [p. 51]
PDF icon Memory-Map Selection for Firm Real-Time SDRAM Controllers [p. 828]
PDF icon A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up [p. 1283]
Goossens, S
PDF icon Memory-Map Selection for Firm Real-Time SDRAM Controllers [p. 828]
Gope, D
PDF icon Moore Meets Maxwell [p. 1275]
Goswami, D
PDF icon Time-triggered Implementations of Mixed-Criticality Automotive Software [p. 1227]
Goswami, D
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Graeb, H
PDF icon ITRS 2011 Analog EDA Challenges and Approaches - Invited Paper [p. 1150]
Graef, M W M
PDF icon Beyond CMOS - Benchmarking for Future Technologies [p. 129]
Grass, E
PDF icon Exploring Pausible Clocking Based GALS Design for 40-nm System Integration [p. 1118]
Grivet-Talocia, S
PDF icon Moore Meets Maxwell [p. 1275]
Grosse, D
PDF icon A Guiding Coverage Metric for Formal Verification [p. 617]
Grudnitsky, A
PDF icon Partial Online-Synthesis for Mixed-Grained Reconfigurable Architectures [p. 1555]
Gruian, F
PDF icon Robust and Flexible Mapping for Real-time Distributed Applications during the Early Design Phases [p. 935]
Guarnieri, V
PDF icon FAST-GP: An RTL Functional Verification Framework Based on Fault Simulation on GP-GPUs [p. 562]
Guderian, F
PDF icon Towards A Wireless Medic Smart Card - Invited Paper [p. 1483]
Guerra, R
PDF icon On-line Scheduling of Target Sensitive Periodic Tasks with the Gravitational Task Model [p. 578]
Guilley, S
PDF icon RSM: A Small and Fast Countermeasure for AES, Secure against First- and Second-order Zero-Offset SCAs [p. 1173]
Guo, X
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Gupta, A
PDF icon Runtime Power Estimator Calibration for High-Performance Microprocessors [p. 352]
Gupta, M S
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Gupta, P
PDF icon VaMV: Variability-aware Memory Virtualization [p. 284]
PDF icon Test Generation for Clock-Domain Crossing Faults in Integrated Circuits [p. 406]
Gupta, R K
PDF icon Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations [p. 1102]
Gupta, S K
PDF icon Salvaging Chips with Caches beyond Repair [p. 1263]
PDF icon Layout-Aware Optimization of STT MRAMs [p. 1455]

H

Haddock, T
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Haedicke, F
PDF icon A Guiding Coverage Metric for Formal Verification [p. 617]
Hahn, D
PDF icon Complexity, Quality and Robustness - The Challenges of Tomorrow's Automotive Electronics [p. 870]
Haid, J
PDF icon Estimation Based Power and Supply Voltage Management for Future RF-Powered Multi-Core Smart Cards [p. 358]
Hamdioui, S
PDF icon DfT Schemes for Resistive Open Defects in RRAMs [p. 799]
Hameed, F
PDF icon Dynamic Cache Management in Multi-Core Architectures through Run-time Adaptation [p. 485]
Hammami, O
PDF icon NOCEVE: Network On Chip Emulation and Verification Environment [p. 163]
Hamouche, R
PDF icon Component-Based and Aspect-Oriented Methodology and Tool for Real-Time Embedded Control Systems Design [p. 1421]
Hamouda, A Y
PDF icon AIR (Aerial Image Retargeting): A Novel Technique for In-Fab Automatic Model-Based Retargeting-for-Yield [p. 1603]
Han, K
PDF icon State-based Full Predication for Low Power Coarse-Grained Reconfigurable Architecture [p. 1367]
Han, X
PDF icon Out-of-Order Parallel Simulation for ESL Design [p. 141]
Han, Y
PDF icon A Clustering-Based Scheme for Concurrent Trace in Debugging NoC-Based Multicore Systems [p. 27]
Hanke, M
PDF icon Formal Analysis of Sporadic Overload in Real-Time Systems [p. 515]
Hankendi, C
PDF icon Reducing the Energy Cost of Computing through Efficient Co-Scheduling of Parallel Workloads [p. 994]
Hansen, J
PDF icon Multi-Token Resource Sharing for Pipelined Asynchronous Systems [p. 1191]
Hansen, R
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Hapke, F
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Haratsch, E F
PDF icon Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis [p. 521]
Hardavellas, N
PDF icon Dynamic Directories: A Mechanism for Reducing On-Chip Interconnect Power in Multicores [p. 479]
Hari, S K S
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Haron, N Z
PDF icon DfT Schemes for Resistive Open Defects in RRAMs [p. 799]
Harrant, M
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Hartmanns, A
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Hasholzner, R
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
Hassoun, S
PDF icon Genetic/Bio Design Automation for (Re-)Engineering Biological Systems [p. 242]
Haubelt, C
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
He, Y
PDF icon Scheduling for Register File Energy Minimization in Explicit Datapath Architectures [p. 388]
Healy, M B
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Hedrich, L
PDF icon Fast Isomorphism Testing for a Graph-based Analog Circuit Synthesis Framework [p. 757]
Heer, C
PDF icon Exploring Pausible Clocking Based GALS Design for 40-nm System Integration [p. 1118]
Hely, D
PDF icon Evaluation of a New RFID System Performance Monitoring Approach [p. 1439]
Henkel, J
PDF icon Accurate Source-Level Simulation of Embedded Software with Respect to Compiler Optimizations [p. 382]
PDF icon Dynamic Cache Management in Multi-Core Architectures through Run-time Adaptation [p. 485]
PDF icon Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding [p. 697]
PDF icon Partial Online-Synthesis for Mixed-Grained Reconfigurable Architectures [p. 1555]
Henry, M B
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Henschel, O P
PDF icon On ESL Verification of Memory Consistency for System-on-Chip Multiprocessing [p. 9]
Herkersdorf, A
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Hermanns, H
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Heuser, A
PDF icon Revealing Side-Channel Issues of Complex Circuits by Enhanced Leakage Models [p. 1179]
Ho, T-Y
PDF icon A Cyberphysical Synthesis Approach for Error Recovery in Digital Microfluidic Biochips [p. 1239]
Holt, J
PDF icon Verification Coverage of Embedded Multicore Applications [p. 252]
Hopkins, B
PDF icon SAFER PATH: Security Architecture Using Fragmented Execution and Replication for Protection against Trojaned Hardware [p. 1000]
Howe, R T
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Hsiao, M S
PDF icon RAG: An Efficient Reliability Analysis of Logic Circuits on Graphics Processing Units [p. 316]
PDF icon A Scan Pattern Debugger for Partial Scan Industrial Designs [p. 558]
Hsiung, P-A
PDF icon Congestion-Aware Scheduling for NoC-based Reconfigurable Systems [p. 1561]
Hsu, H-W
PDF icon On Effective Flip-Chip Routing via Pseudo Single Redistribution Layer [p. 1597]
Hsuing, H
PDF icon Salvaging Chips with Caches beyond Repair [p. 1263]
Hu, Y
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
Huang, C-Y
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
PDF icon Multi-Patch Generation for Multi-Error Logic Rectification by Interpolation with Cofactor Reduction [p. 1567]
Huang, H
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
PDF icon Fair Energy Resource Allocation by Minority Game Algorithm for Smart Buildings [p. 63]
Huang, J
PDF icon Static Scheduling of a Time-Triggered Network-on-Chip Based on SMT Solving [p. 509]
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Huang, K
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
Huang, M
PDF icon Combining Module Selection and Replication for Throughput-Driven Streaming Programs [p. 1018]
Huang, P-K
PDF icon Multi-Patch Generation for Multi-Error Logic Rectification by Interpolation with Cofactor Reduction [p. 1567]
Huang, S
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Huebner, M
PDF icon Virtualized On-Chip Distributed Computing for Heterogeneous Reconfigurable Multi-Core Systems [p. 280]
Huisken, J
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
Hung, C Y
PDF icon Challenges in Verifying an Integrated 3D Design [p. 167]
Huss, A
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Huss, S A
PDF icon Side Channel Analysis of the SHA-3 Finalists [p. 1012]

I

Ienne, P
PDF icon Selective Flexibility: Breaking the Rigidity of Datapath Merging [p. 1543]
Ike, A
PDF icon Fast Cycle Estimation Methodology for Instruction-Level Emulator [p. 248]
Illikkal, R
PDF icon PCASA: Probabilistic Control-Adjusted Selective Allocation for Shared Caches [p. 473]
Indaco, M
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Irwin, M J
PDF icon An FPGA-based Accelerator for Cortical Object Classification [p. 691]
Iyengar, V
PDF icon Challenges in Verifying an Integrated 3D Design [p. 167]
Iyer, R
PDF icon PCASA: Probabilistic Control-Adjusted Selective Allocation for Shared Caches [p. 473]

J

Jacobson, H
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Jafari, F
PDF icon Worst-Case Delay Analysis of Variable Bit-Rate Flows in Network-on-Chip with Aggregate Scheduling [p. 538]
Jahn, M
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Jandhyala, V
PDF icon Moore Meets Maxwell [p. 1275]
Jang, M-W
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Jang, S
PDF icon Mapping into LUT Structures [p. 1579]
Janota, M
PDF icon QBF-Based Boolean Function Bi-Decomposition [p. 816]
Janssen, R
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
Jantsch, A
PDF icon Worst-Case Delay Analysis of Variable Bit-Rate Flows in Network-on-Chip with Aggregate Scheduling [p. 538]
PDF icon Parallel Probing: Dynamic and Constant Time Setup Procedure in Circuit Switching NoC [p. 1289]
Jedda, H
PDF icon Current Source Modeling for Power and Timing Analysis at Different Supply Voltages [p. 923]
Jentsch, M
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Jeong, K
PDF icon MAPG: Memory Access Power Gating [p. 1054]
Jerke, G
PDF icon Hierarchical Propagation of Geometric Constraints for Full-Custom Physical Design of ICs [p. 1471]
Jha, N K
PDF icon Enabling Advanced Inference on Sensor Nodes Through Direct Use of Compressively-sensed Signals [p. 437]
Jiang, J
PDF icon On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints [p. 418]
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Jiang, K
PDF icon Co-Design Techniques for Distributed Real-Time Embedded Systems with Communication Security Constraints [p. 947]
Jiang, L
PDF icon On Effective TSV Repair for 3D-Stacked ICs [p. 793]
PDF icon Efficient Variation-Aware EM-Semiconductor Coupled Solver for the TSV Structures in 3D IC [p. 1409]
Jin, T
PDF icon Low Power Aging-Aware Register File Design by Duty Cycle Balancing [p. 546]
Jin, Y
PDF icon Post-Deployment Trust Evaluation in Wireless Cryptographic ICs [p. 965]
Jones, A K
PDF icon Asymmetry of MTJ Switching and Its Implication to STT-RAM Designs [p. 1313]
Jones, D L
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
Jones, S
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Jonsson, F
PDF icon A Multi-Parameter Bio-Electric ASIC Sensor with Integrated 2-Wire Data Transmission Protocol for Wearable Healthcare System [p. 443]
Jouppi, N P.
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
Jovic, J
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]
Juan, D-C
PDF icon Statistical Thermal Modeling and Optimization Considering Leakage Power Variations [p. 605]

K

Kahng, A B
PDF icon MAPG: Memory Access Power Gating [p. 1054]
Kakoee, M R
PDF icon A Resilient Architecture for Low Latency Communication in Shared-L1 Processor Clusters [p. 887]
Kalla, P
PDF icon Efficient Gröbner Basis Reductions for Formal Verification of Galois Field Multipliers [p. 899]
Kalligeros, E
PDF icon Dynamic-Priority Arbiter and Multiplexer Soft Macros for On-Chip Networks Switches [p. 542]
Kamal, M
PDF icon An Architecture-Level Approach for Mitigating the Impact of Process Variations on Extensible Processors [p. 467]
Kandemir, M
PDF icon Performance-Reliability Tradeoff Analysis for Multithreaded Applications [p. 893]
Kang, S
PDF icon MAPG: Memory Access Power Gating [p. 1054]
Karim, K S
PDF icon AIR (Aerial Image Retargeting): A Novel Technique for In-Fab Automatic Model-Based Retargeting-for-Yield [p. 1603]
Karimi, N
PDF icon Test Generation for Clock-Domain Crossing Faults in Integrated Circuits [p. 406]
Karlsson, D
PDF icon Timing Modeling with AUTOSAR - Current State and Future Directions [p. 805]
Karnik, T
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Karri, R
PDF icon Logic Encryption: A Fault Analysis Perspective [p. 953]
Kasper, M
PDF icon Side Channel Analysis of the SHA-3 Finalists [p. 1012]
Kathareios, G
PDF icon A Divide and Conquer Based Distributed Run-time Mapping Methodology for Many-Core Platforms [p. 111]
Katoen, J-P
PDF icon Model Checking of Scenario-Aware Dataflow with CADP [p. 653]
Katz, Y
PDF icon Generating Instruction Streams Using Abstract CSP [p. 15]
Kazmierski, T J
PDF icon Response-surface-based Design Space Exploration and Optimization of Wireless Sensor Nodes with Tunable Energy Harvesters [p. 733]
Keng, B
PDF icon Non-Solution Implications Using Reverse Domination in a Modern SAT-based Debugging Environment [p. 629]
Kerkhoff, H G
PDF icon Monitoring Active Filters under Automotive Aging Scenarios with Embedded Instrument [p. 1096]
Kessler, C
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Kestur, S
PDF icon An FPGA-based Accelerator for Cortical Object Classification [p. 691]
Khatri, S P
PDF icon A Fast, Source-Synchronous Ring-based Network-on-Chip Design [p. 1489]
Khellah, M
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Kiamehr, S
PDF icon NBTI Mitigation by Optimized NOP Assignment and Insertion [p. 218]
Kim, D
PDF icon A Case Study on the Application of Real Phase-Change RAM to Main Memory Subsystem [p. 264]
Kim, H
PDF icon On-Chip Source Synchronous Interface Timing Test Scheme with Calibration [p. 1146]
Kim, N S
PDF icon Workload-Aware Voltage Regulator Optimization for Power Efficient Multi-Core Processors [p. 1134]
Kim, Y
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon A Case Study on the Application of Real Phase-Change RAM to Main Memory Subsystem [p. 264]
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
Kirsch, C
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Kluge, F
PDF icon Time Analysable Synchronisation Techniques for Parallelised Hard Real-Time Applications [p. 671]
Knoedler, K
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Knoll, A
PDF icon Static Scheduling of a Time-Triggered Network-on-Chip Based on SMT Solving [p. 509]
PDF icon Bounding WCET of Applications Using SDRAM with Priority Based Budget Scheduling in MPSoCs [p. 665]
Knoth, C
PDF icon Current Source Modeling for Power and Timing Analysis at Different Supply Voltages [p. 923]
Kocabas, U
PDF icon PUF-based Secure Test Wrapper Design for Cryptographic SoC Testing [p. 866]
Kocik, R
PDF icon Component-Based and Aspect-Oriented Methodology and Tool for Real-Time Embedded Control Systems Design [p. 1421]
Koenig, R
PDF icon A Cycle-Approximate, Mixed-ISA Simulator for the KAHRISMA Architecture [p. 21]
Kogel, T
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Kondratyev, A
PDF icon Exploiting Area/Delay Tradeoffs in High-Level Synthesis [p. 1024]
Kotiyal, S
PDF icon Mach-Zehnder Interferometer Based Design of All Optical Reversible Binary Adder [p. 721]
Kouters, T
PDF icon Memory-Map Selection for Firm Real-Time SDRAM Controllers [p. 828]
Kress, R
PDF icon Panel: What Is EDA Doing for Trailing Edge Technologies? [p. 874]

Kriebel, F
PDF icon Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding [p. 697]
Krinke, A
PDF icon Hierarchical Propagation of Geometric Constraints for Full-Custom Physical Design of ICs [p. 1471]
Kristic, M
PDF icon Exploring Pausible Clocking Based GALS Design for 40-nm System Integration [p. 1118]
Krone, S
PDF icon Towards A Wireless Medic Smart Card - Invited Paper [p. 1483]
Kulkarni, J
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Kuntz, S
PDF icon Timing Modeling with AUTOSAR - Current State and Future Directions [p. 805]
Kunze, M
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Kural, E
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Kuwamura, S
PDF icon Fast Cycle Estimation Methodology for Instruction-Level Emulator [p. 248]
Kwon, S
PDF icon A Case Study on the Application of Real Phase-Change RAM to Main Memory Subsystem [p. 264]

L

Lafaye, M
PDF icon Model Driven Resource Usage Simulation for Critical Embedded Systems [p. 312]
Lai, L-C
PDF icon Design and Analysis of Via-Configurable Routing Fabrics for Structured ASICs [p. 1479]
Lam, T-K
PDF icon Almost Every Wire is Removable: A Modeling and Solution for Removing Any Circuit Wire [p. 1573]
Landis, D L
PDF icon Hazard Driven Test Generation for SMT Processors [p. 256]
Landolt, F
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Larsen, K G
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Lau, J
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Laur, R
PDF icon Simulation of the Steady State of Oscillators in the Time Domain [p. 1355]
Lavagno, L
PDF icon Exploiting Area/Delay Tradeoffs in High-Level Synthesis [p. 1024]
Laversanne, S
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Le, B
PDF icon Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug [p. 292]
PDF icon Non-Solution Implications Using Reverse Domination in a Modern SAT-based Debugging Environment [p. 629]
Lee, C L
PDF icon Modeling and Testing of Interference Faults in the Nano NAND Flash Memory [p. 527]
Lee, C-J
PDF icon Agglomerative-Based Flip-Flop Merging with Signal Wirelength Optimization [p. 1391]
Lee, D
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Lee, M-C
PDF icon Mitigating Lifetime Underestimation: A System-Level Approach Considering Temperature Variations and Correlations between Failure Mechanisms [p. 1269]
Lee, S
PDF icon A Case Study on the Application of Real Phase-Change RAM to Main Memory Subsystem [p. 264]
PDF icon Bloom Filter-based Dynamic Wear Leveling for Phase-Change RAM [p. 1513]
Lee, W S
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Legay, A
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Lehner, W
PDF icon Pathways to Servers of the Future - Highly Adaptive Energy Efficient Computing (HAEC) [p. 1161]
Leteinturier, P
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
Leupers, R
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Leveque, A
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Lewis, M
PDF icon Verification of Partial Designs Using Incremental QBF Solving [p. 623]
Li, B
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
Li, H
PDF icon Spintronic Memristor Based Temperature Sensor Design with CMOS Current Reference [p. 1301]
PDF icon Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices [p. 1451]
PDF icon A Complexity Adaptive Channel Estimator for Low Power [p. 1531]
Li, H-C
PDF icon On Effective Flip-Chip Routing via Pseudo Single Redistribution Layer [p. 1597]
Li, M
PDF icon RAG: An Efficient Reliability Analysis of Logic Circuits on Graphics Processing Units [p. 316]
PDF icon A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection [p. 1331]
PDF icon Custom On-Chip Sensors for Post-Silicon Failing Path Isolation in the Presence of Process Variations [p. 1591]
Li, S
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Li, T
PDF icon Reli: Hardware/Software Checkpoint and Recovery Scheme for Embedded Processors [p. 875]
Li, X
PDF icon A Clustering-Based Scheme for Concurrent Trace in Debugging NoC-Based Multicore Systems [p. 27]
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
PDF icon NOCEVE: Network On Chip Emulation and Verification Environment [p. 163]
Li, Y
PDF icon Asymmetry of MTJ Switching and Its Implication to STT-RAM Designs [p. 1313]
Liang, Y
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
Lilja, D J
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Liljeberg, P
PDF icon CATRA -Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks [p. 320]
Lin, H-Y
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Lin, R-B
PDF icon Design and Analysis of Via-Configurable Routing Fabrics for Structured ASICs [p. 1479]
Lin, W-H
PDF icon Dual Greedy: Adaptive Garbage Collection for Page-Mapping Solid-State Disks [p. 117]
Lin, X
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
Lindwer, M
PDF icon Exploiting Binary Translation for Fast ASIP Design Space Exploration on FPGAs [p. 566]
Lippautz, M
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Lisherness, P
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Liu, B
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
PDF icon A Fast Analog Circuit Yield Estimation Method for Medium and High Dimensional Problems [p. 751]
PDF icon Combining Module Selection and Replication for Throughput-Driven Streaming Programs [p. 1018]
Liu, C
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
Liu, D
PDF icon A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems [p. 1447]
Liu, G
PDF icon Neighbor-Aware Dynamic Thermal Management for Multi-core Platform [p. 187]
Liu, H
PDF icon Off-path Leakage Power Aware Routing for SRAM-based FPGAs [p. 87]
PDF icon An Operational Matrix-Based Algorithm for Simulating Linear and Fractional Differential Circuits [p. 1463]
Liu, H-Y
PDF icon Compositional System-Level Design Exploration with Planning of High-Level Synthesis [p. 641]
Liu, S
PDF icon Parallel Probing: Dynamic and Constant Time Setup Procedure in Circuit Switching NoC [p. 1289]
Liu, S S-Y
PDF icon Agglomerative-Based Flip-Flop Merging with Signal Wirelength Optimization [p. 1391]
Liu, X
PDF icon Energy-Efficient Branch Prediction with Compiler-Guided History Stack [p. 449]
Liu, X-X
PDF icon Runtime Power Estimator Calibration for High-Performance Microprocessors [p. 352]
PDF icon Parallel Statistical Analysis of Analog Circuits by GPU-accelerated Graph-based Approach [p. 852]
PDF icon A GPU-Accelerated Envelope-Following Method for Switching Power Converter Simulation [p. 1349]
Liu, Y
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Lo Iacono, D
PDF icon A Flexible and Fast Software Implementation of the FFT on the BPE Platform [p. 1467]
Lochner, H
PDF icon Complexity, Quality and Robustness - The Challenges of Tomorrow's Automotive Electronics [p. 870]
Loghi, M
PDF icon Application-Specific Memory Partitioning for Joint Energy and Lifetime Optimization [p. 364]
Loi, I
PDF icon A Resilient Architecture for Low Latency Communication in Shared-L1 Processor Clusters [p. 887]
PDF icon An Energy Efficient DRAM Subsystem for 3D Integrated SoCs [p. 1138]
Lorentz, V
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Louerat, M-M
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Lu, J
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
Lu, K
PDF icon Accurately Timed Transaction Level Models for Virtual Prototyping at High Abstraction Level [p. 135]
Lu, S-L
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Lu, Z
PDF icon Worst-Case Delay Analysis of Variable Bit-Rate Flows in Network-on-Chip with Aggregate Scheduling [p. 538]
PDF icon Parallel Probing: Dynamic and Constant Time Setup Procedure in Circuit Switching NoC [p. 1289]
Lugli, P
PDF icon Characterization of the Bistable Ring PUF [p. 1459]
Lukasiewycz, M
PDF icon Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach [p. 276]
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
PDF icon Time-triggered Implementations of Mixed-Criticality Automotive Software [p. 1227]
Luo, Y
PDF icon A Cyberphysical Synthesis Approach for Error Recovery in Digital Microfluidic Biochips [p. 1239]
Luy, L
PDF icon Fast Isomorphism Testing for a Graph-based Analog Circuit Synthesis Framework [p. 757]
Lv, J
PDF icon Efficient Gröbner Basis Reductions for Formal Verification of Galois Field Multipliers [p. 899]

M

Macii, A
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Macii, E
PDF icon IR-Drop Analysis of Graphene-Based Power Distribution Networks [p. 81]
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
PDF icon Application-Specific Memory Partitioning for Joint Energy and Lifetime Optimization [p. 364]
Mackay, K
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Madsen, J
PDF icon Robust and Flexible Mapping for Real-time Distributed Applications during the Early Design Phases [p. 935]
Maffione, M
PDF icon SURF Algorithm in FPGA: A Novel Architecture for High Demanding Industrial Applications [p. 161]
Magno, M
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
Mahapatra, R N
PDF icon A Fast, Source-Synchronous Ring-based Network-on-Chip Design [p. 1489]
Mahmood, H
PDF icon Application-Specific Memory Partitioning for Joint Energy and Lifetime Optimization [p. 364]
Mahmood, Z
PDF icon An Efficient Framework for Passive Compact Dynamical Modeling of Multiport Linear Systems [p. 1203]
Mai, K
PDF icon Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis [p. 521]
Majumdar, S
PDF icon A Closed-loop System for Artifact Mitigation in Ambulatory Electrocardiogram Monitoring [p. 431]
Makosiej, A
PDF icon Stability and Yield-Oriented Ultra-Low-Power Embedded 6T SRAM Cell Design Optimization [p. 93]
Makris, Y
PDF icon Post-Deployment Trust Evaluation in Wireless Cryptographic ICs [p. 965]
Maliuk, D
PDF icon Post-Deployment Trust Evaluation in Wireless Cryptographic ICs [p. 965]
Mammo, B
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Mancini, S
PDF icon Enhancing Non-Linear Kernels by an Optimized Memory Hierarchy in a High Level Synthesis Flow [p. 1130]
Mandal, A
PDF icon A Fast, Source-Synchronous Ring-based Network-on-Chip Design [p. 1489]
Mangassarian, H
PDF icon Non-Solution Implications Using Reverse Domination in a Modern SAT-based Debugging Environment [p. 629]
Marconi, T
PDF icon Online Scheduling for Multi-Core Shared Reconfigurable Fabric [p. 582]
Marculescu, D
PDF icon Statistical Thermal Modeling and Optimization Considering Leakage Power Variations [p. 605]
PDF icon Mitigating Lifetime Underestimation: A System-Level Approach Considering Temperature Variations and Correlations between Failure Mechanisms [p. 1269]
Mariani, G
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Maricau, E
PDF icon Hierarchical Analog Circuit Reliability Analysis Using Multivariate Nonlinear Regression and Active Learning Sample Selection [p. 745]
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
Marin, P
PDF icon Verification of Partial Designs Using Incremental QBF Solving [p. 623]
Marinho, J M
PDF icon Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling [p. 497]
Marinissen, E J
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
PDF icon Challenges and Emerging Solutions in Testing TSV-Based 2 1/2D-and 3D-Stacked ICs - Invited Paper [p. 1277]
Marinkovic, S
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
Markov, I L
PDF icon RTL Analysis and Modifications for Improving At-speed Test [p. 400]
Marongiu, A
PDF icon Fast and Lightweight Support for Nested Parallelism on Cluster-Based Embedded Many-Cores [p. 105]
PDF icon Design of a Collective Communication Infrastructure for Barrier Synchronization in Cluster-Based Nanoscale MPSoCs [p. 491]
Marques-Silva, J
PDF icon QBF-Based Boolean Function Bi-Decomposition [p. 816]
Marsh, G
PDF icon A Closed-loop System for Artifact Mitigation in Ambulatory Electrocardiogram Monitoring [p. 431]
Martin, G
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Martina, M
PDF icon A Network-on-Chip-based Turbo/LDPC Decoder Architecture [p. 1525]
Martinez Nova, A
PDF icon Optimization Intensive Energy Harvesting [p. 272]
Marwedel, P
PDF icon Multi-Objective Aware Extraction of Task-Level Parallelism Using Genetic Algorithms [p. 394]
Masera, G
PDF icon A Network-on-Chip-based Turbo/LDPC Decoder Architecture [p. 1525]
Masrur, A
PDF icon Timing Analysis of Cyber-Physical Applications for Hybrid Communication Protocols [p. 1233]
Masson, G
PDF icon UWB: Innovative Architectures Enable Disruptive Low Power Wireless Applications - Invited Paper [p. 1160]
Massouri, A
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Matthes, M
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
Maurine, P
PDF icon Amplitude Demodulation-based EM Analysis of Different RSA Implementations [p. 1167]
McConaghy, T
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
Meder, K
PDF icon The Mobile Society - Chances and Challenges for Micro- and Power Electronics [p. 1]
Meissner, M
PDF icon Fast Isomorphism Testing for a Graph-based Analog Circuit Synthesis Framework [p. 757]
Melikyan, V
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Meloni, P
PDF icon Exploiting Binary Translation for Fast ASIP Design Space Exploration on FPGAs [p. 566]
Melpignano, D
PDF icon P2012: Building an Ecosystem for a Scalable, Modular and High-Efficiency Embedded Computing Accelerator [p. 983]
Memik, G
PDF icon Dynamic Directories: A Mechanism for Reducing On-Chip Interconnect Power in Multicores [p. 479]
Meng, J
PDF icon Analysis and Runtime Management of 3D Systems with Stacked DRAM for Boosting Energy Efficiency [p. 611]
Mesman, B
PDF icon Scheduling for Register File Energy Minimization in Explicit Datapath Architectures [p. 388]
Messaoudi, J
PDF icon A Fast Analog Circuit Yield Estimation Method for Medium and High Dimensional Problems [p. 751]
Meumeu Yomsi, P
PDF icon Challenges and New Trends in Probabilistic Timing Analysis [p. 810]
Meyer zu Bexten, V
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Meyer, M
PDF icon Exploiting Area/Delay Tradeoffs in High-Level Synthesis [p. 1024]
Miele, A
PDF icon An Adaptive Approach for Online Fault Management in Many-Core Architectures [p. 1429]
Milbredt, P
PDF icon Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach [p. 276]
Miller, B
PDF icon MEDS: Mockup Electronic Data Sheets for Automated Testing of Cyber-Physical Systems Using Digital Mockups [p. 1417]
Miller, C
PDF icon Verification of Partial Designs Using Incremental QBF Solving [p. 623]
Mir, S
PDF icon Testing RF Circuits with True Non-Intrusive Built-In Sensors [p. 1090]
Miremadi, S G
PDF icon SCFIT: A FPGA-based Fault Injection Technique for SEU Fault Model [p. 586]
Miryala, S
PDF icon IR-Drop Analysis of Graphene-Based Power Distribution Networks [p. 81]
Mishchenko, A
PDF icon Mapping into LUT Structures [p. 1579]
Mishra, P
PDF icon Automated Generation of Directed Tests for Transition Coverage in Cache Coherence Protocols [p. 3]
Misra, S K
PDF icon A Scan Pattern Debugger for Partial Scan Industrial Designs [p. 558]
Mitea, O
PDF icon Fast Isomorphism Testing for a Graph-based Analog Circuit Synthesis Framework [p. 757]
Mitra, S
PDF icon Formal Methods for Ranking Counterexamples through Assumption Mining [p. 911]
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Mitra, T
PDF icon Online Scheduling for Multi-Core Shared Reconfigurable Fabric [p. 582]
Mittag, M
PDF icon Hierarchical Propagation of Geometric Constraints for Full-Custom Physical Design of ICs [p. 1471]
Mittermaier, N
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Mohalik, S
PDF icon Verifying Timing Synchronization Constraints in Distributed Embedded Architectures [p. 200]
Mohammadi, A
PDF icon SCFIT: A FPGA-based Fault Injection Technique for SEU Fault Model [p. 586]
Mohanram, K
PDF icon High Performance Reliable Variable Latency Carry Select Addition [p. 1257]
Mojumder, N N
PDF icon Layout-Aware Optimization of STT MRAMs [p. 1455]
Molnos, A
PDF icon A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up [p. 1283]
Monga, I
PDF icon Benefits of Green Energy and Proportionality in High Speed Wide Area Networks Connecting Data Centers [p. 175]
Monteiro, J
PDF icon Design of Low-Complexity Digital Finite Impulse Response Filters on FPGAs [p. 1197]
Morad, R
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Morche, D
PDF icon Yield Optimization for Radio Frequency Receiver at System Level [p. 848]
PDF icon UWB: Innovative Architectures Enable Disruptive Low Power Wireless Applications - Invited Paper [p. 1160]
Morgan, M
PDF icon Fixed Origin Corner Square Inspection Layout Regularity Metric [p. 1397]
Moses, J
PDF icon PCASA: Probabilistic Control-Adjusted Selective Allocation for Shared Caches [p. 473]
Moshovos, A
PDF icon Toward Virtualizing Branch Direction Prediction [p. 455]
Mueller, W
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
Mueller-Gritschneder, D
PDF icon Accurately Timed Transaction Level Models for Virtual Prototyping at High Abstraction Level [p. 135]
PDF icon Automated Construction of a Cycle-Approximate Transaction Level Model of a Memory Controller [p. 1066]
Muradore, R
PDF icon Predictive Control of Networked Control Systems over Differentiated Services Lossy Networks [p. 1245]
Muralimanohar, N
PDF icon CACTI-3DD: Architecture-level Modeling for 3D Die-stacked DRAM Main Memory [p. 33]
Murillo, L
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]
Mutlu, O
PDF icon Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis [p. 521]

N

Nagel,
PDF icon Pathways to Servers of the Future - Highly Adaptive Energy Efficient Computing (HAEC) [p. 1161]
Nahir, A
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Nair, I
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Namyst, R
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Narayanan, R
PDF icon Verifying Jitter in an Analog and Mixed Signal Design Using Dynamic Time Warping [p. 1413]
Narayanan, V
PDF icon Hazard Driven Test Generation for SMT Processors [p. 256]
PDF icon An FPGA-based Accelerator for Cortical Object Classification [p. 691]
Nassar, M
PDF icon RSM: A Small and Fast Countermeasure for AES, Secure against First- and Second-order Zero-Offset SCAs [p. 1173]
Nassery, A
PDF icon An Analytical Technique for Characterization of Transceiver IQ Imbalances in the Loop-Back Mode [p. 1084]
Nazhandali, L
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Nazin, S A
PDF icon Yield Optimization for Radio Frequency Receiver at System Level [p. 848]
Nelis, V
PDF icon Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling [p. 497]
Neogy, A
PDF icon Analysis and Design of Sub-Harmonically Injection Locked Oscillators [p. 1209]
Newby, T
PDF icon SAFER PATH: Security Architecture Using Fragmented Execution and Replication for Protection against Trojaned Hardware [p. 1000]
Newell, D
PDF icon PCASA: Probabilistic Control-Adjusted Selective Allocation for Shared Caches [p. 473]
Nicolaidis, M
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Nicolau, A
PDF icon VaMV: Variability-aware Memory Virtualization [p. 284]
Nikolov, H
PDF icon A Methodology for Automated Design of Hard-Real-Time Embedded Streaming Systems [p. 941]
Nirmaier, T
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Novo, D
PDF icon Selective Flexibility: Breaking the Rigidity of Datapath Merging [p. 1543]

O

O'Flynn, B
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
Oertel, M
PDF icon Automatic Transition Between Structural System Views in a Safety Relevant Embedded Systems Development Process [p. 820]
Olivo, P
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Osello, A
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Osewold, C
PDF icon Automatic Design of Low-Power Encoders Using Reversible Circuit Synthesis [p. 1036]
Oz, I
PDF icon Performance-Reliability Tradeoff Analysis for Multithreaded Applications [p. 893]
Ozev, S
PDF icon An Analytical Technique for Characterization of Transceiver IQ Imbalances in the Loop-Back Mode [p. 1084]

P

Palermo, G
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Panagopoulos, G
PDF icon A Framework for Simulating Hybrid MTJ/CMOS Circuits: Atoms to System Approach [p. 1443]
Pang, G K H
PDF icon An Operational Matrix-Based Algorithm for Simulating Linear and Fractional Differential Circuits [p. 1463]
Papaefstathiou, I
PDF icon An FPGA-based Parallel Processor for Black-Scholes Option Pricing Using Finite Differences Schemes [p. 709]
Parameswaran, S
PDF icon Reli: Hardware/Software Checkpoint and Recovery Scheme for Embedded Processors [p. 875]
Park, M S
PDF icon An FPGA-based Accelerator for Cortical Object Classification [p. 691]
Park, S
PDF icon Embedded Systems and Software Challenges in Electric Vehicles [p. 424]
PDF icon State-based Full Predication for Low Power Coarse-Grained Reconfigurable Architecture [p. 1367]
Park, S P
PDF icon Layout-Aware Optimization of STT MRAMs [p. 1455]
Parsa, R
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Partlo III, W E
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Patel, H D
PDF icon An Instruction Scratchpad Memory Allocation for the Precision Timed Architecture [p. 659]
Patil, S
PDF icon Test Generation for Clock-Domain Crossing Faults in Integrated Circuits [p. 406]
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Patti, E
PDF icon Middleware Services for Network Interoperability in Smart Energy Efficient Buildings [p. 338]
Pautet, L
PDF icon Model Driven Resource Usage Simulation for Critical Embedded Systems [p. 312]
Pavlidis, V F
PDF icon Voltage Propagation Method for 3-D Power Grid Analysis [p. 844]
Pecheux, F
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Pedram, M
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon An Architecture-Level Approach for Mitigating the Impact of Process Variations on Extensible Processors [p. 467]
PDF icon Minimizing the Latency of Quantum Circuits during Mapping to the Ion-Trap Circuit Fabric [p. 840]
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
Pelissier, M
PDF icon UWB: Innovative Architectures Enable Disruptive Low Power Wireless Applications - Invited Paper [p. 1160]
Pellegrini, A
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Pelz, G
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Peng, Z
PDF icon Co-Design Techniques for Distributed Real-Time Embedded Systems with Communication Security Constraints [p. 947]
Peraldi-Frati, M-A
PDF icon Timing Modeling with AUTOSAR - Current State and Future Directions [p. 805]
Peranandam, P
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Pereira, E
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Perin, G
PDF icon Amplitude Demodulation-based EM Analysis of Different RSA Implementations [p. 1167]
Perlo, P
PDF icon Mechatronic System for Energy Efficiency in Bus Transport [p. 342]
Petracca, M
PDF icon Compositional System-Level Design Exploration with Planning of High-Level Synthesis [p. 641]
Petters, S M
PDF icon Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling [p. 497]
Pham, H-M
PDF icon UPaRC -- Ultra-Fast Power-aware Reconfiguration Controller [p. 1373]
Pidan, D
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Piguet, C
PDF icon Fixed Origin Corner Square Inspection Layout Regularity Metric [p. 1397]
Pillement, S
PDF icon UPaRC -- Ultra-Fast Power-aware Reconfiguration Controller [p. 1373]
Pimentel, A D
PDF icon Design Space Pruning through Hybrid Analysis in System-level Design Space Exploration [p. 781]
Pineda de Gyvez, J
PDF icon Sliding-Mode Control to Compensate PVT Variations in Dual Core Systems [p. 1048]
Pino, R E
PDF icon Spintronic Memristor Based Temperature Sensor Design with CMOS Current Reference [p. 1301]
Pino, Y
PDF icon Logic Encryption: A Fault Analysis Perspective [p. 953]
Piscitelli, R
PDF icon Design Space Pruning through Hybrid Analysis in System-level Design Space Exploration [p. 781]
Pllana, S
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Plosila, J
PDF icon CATRA -Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks [p. 320]
Plyaskin, R
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Polian, I
PDF icon On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints [p. 418]
Pomata, S
PDF icon Exploiting Binary Translation for Fast ASIP Design Space Exploration on FPGAs [p. 566]
Poncino, M
PDF icon IR-Drop Analysis of Graphene-Based Power Distribution Networks [p. 81]
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon Application-Specific Memory Partitioning for Joint Energy and Lifetime Optimization [p. 364]
Pons, M
PDF icon Fixed Origin Corner Square Inspection Layout Regularity Metric [p. 1397]
Pontes, J
PDF icon An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design [p. 224]
Pop, P
PDF icon Robust and Flexible Mapping for Real-time Distributed Applications during the Early Design Phases [p. 935]
Poplavko, P
PDF icon SPDF: A Schedulable Parametric Data-Flow MoC [p. 769]
Popovici, E
PDF icon Smart Power Unit with Ultra Low Power Radio Trigger Capabilities for Wireless Sensor Networks [p. 75]
Popp, R M
PDF icon Beyond CMOS - Benchmarking for Future Technologies [p. 129]
Potkonjak, M
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
PDF icon Optimization Intensive Energy Harvesting [p. 272]
Poulos, Z
PDF icon Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug [p. 292]
Pourshaghaghi, H R
PDF icon Sliding-Mode Control to Compensate PVT Variations in Dual Core Systems [p. 1048]
Prakash, A
PDF icon An Instruction Scratchpad Memory Allocation for the Precision Timed Architecture [p. 659]
Pravadelli, G
PDF icon Enabling Dynamic Assertion-based Verification of Embedded Software through Model-driven Design [p. 212]
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
Prenat, G
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Prinetto, P
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Prochazka, W
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Provine, J
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Puaut, I
PDF icon Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling [p. 497]

Q

Qian, Z
PDF icon A Flit-level Speedup Scheme for Network-on-Chips Using Self-Reconfigurable Bi-directional Channels [p. 1295]
Qin, X
PDF icon Automated Generation of Directed Tests for Transition Coverage in Cache Coherence Protocols [p. 3]
Qin, Z
PDF icon A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems [p. 1447]
Quaglia, D
PDF icon Refinement of UML/MARTE Models for the Design of Networked Embedded Systems [p. 1072]
PDF icon Predictive Control of Networked Control Systems over Differentiated Services Lossy Networks [p. 1245]
Quan, G
PDF icon Neighbor-Aware Dynamic Thermal Management for Multi-core Platform [p. 187]
PDF icon Harmonic Semi-Partitioned Scheduling for Fixed-Priority Real-Time Tasks on Multi-Core Platform [p. 503]
Quinton, S
PDF icon Formal Analysis of Sporadic Overload in Real-Time Systems [p. 515]
PDF icon Challenges and New Trends in Probabilistic Timing Analysis [p. 810]

R

Raabe, A
PDF icon Static Scheduling of a Time-Triggered Network-on-Chip Based on SMT Solving [p. 509]
PDF icon Bounding WCET of Applications Using SDRAM with Priority Based Budget Scheduling in MPSoCs [p. 665]
Rafaila, M
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Raffo, L
PDF icon Exploiting Binary Translation for Fast ASIP Design Space Exploration on FPGAs [p. 566]
Ragel, R
PDF icon Reli: Hardware/Software Checkpoint and Recovery Scheme for Embedded Processors [p. 875]
Rahimi, A
PDF icon Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations [p. 1102]
Rahman, M
PDF icon Post-Synthesis Leakage Power Minimization [p. 99]
Rajeev, A C
PDF icon Verifying Timing Synchronization Constraints in Distributed Embedded Architectures [p. 200]
Rajendran, J
PDF icon Logic Encryption: A Fault Analysis Perspective [p. 953]
Rambo, E A
PDF icon On ESL Verification of Memory Consistency for System-on-Chip Multiprocessing [p. 9]
Ramesh, S
PDF icon Verifying Timing Synchronization Constraints in Distributed Embedded Architectures [p. 200]
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Ranganathan, N
PDF icon Runtime Power Gating in Caches of GPUs for Leakage Energy Savings [p. 300]
PDF icon Mach-Zehnder Interferometer Based Design of All Optical Reversible Binary Adder [p. 721]
Ranjan, A
PDF icon PowerAdviser: An RTL Power Platform for Interactive Sequential Optimizations [p. 550]
Raviram, S
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Ray, S
PDF icon Scalable Progress Verification in Credit-Based Flow-Control Systems [p. 905]
PDF icon Mapping into LUT Structures [p. 1579]
Raychowdhury, A
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Rehman, S
PDF icon Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding [p. 697]
Reinhardt, A
PDF icon Yield Optimization for Radio Frequency Receiver at System Level [p. 848]
Reinig, H
PDF icon Automated Construction of a Cycle-Approximate Transaction Level Model of a Memory Controller [p. 1066]
Reinman, G
PDF icon Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design [p. 45]
Richards, A
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Richter, M
PDF icon Test Pin Count Reduction for NoC-based Test Delivery in Multicore SOCs [p. 787]
Rimon, M
PDF icon Generating Instruction Streams Using Abstract CSP [p. 15]
Rinaudo, S
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Rivers, J
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Rivers, J A
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Rochange, C
PDF icon Time Analysable Synchronisation Techniques for Parallelised Hard Real-Time Applications [p. 671]
Rofouei, M
PDF icon Optimization Intensive Energy Harvesting [p. 272]
Rohfleisch, B
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
Roncella, R
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Roop, P S
PDF icon Correct-by-Construction Multi-Component SoC Design [p. 647]
Rosenstiel, W
PDF icon Beyond CMOS - Benchmarking for Future Technologies [p. 129]
PDF icon Hybrid Source-Level Simulation of Data Caches Using Abstract Cache Models [p. 376]
PDF icon Analysis of Multi-Domain Scenarios for Optimized Dynamic Power Management Strategies [p. 862]
PDF icon Hierarchical Propagation of Geometric Constraints for Full-Custom Physical Design of ICs [p. 1471]
Rosiére, M
PDF icon An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design [p. 1549]
Rosing, T S
PDF icon Benefits of Green Energy and Proportionality in High Speed Wide Area Networks Connecting Data Centers [p. 175]
PDF icon TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs [p. 593]
PDF icon MAPG: Memory Access Power Gating [p. 1054]
Rottmann, A
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Rousseau, F
PDF icon Enhancing Non-Linear Kernels by an Optimized Memory Hierarchy in a High Level Synthesis Flow [p. 1130]
Rox, J
PDF icon Using Timing Analysis for the Design of Future Switched Based Ethernet Automotive Networks [p. 57]
Roy, K
PDF icon A Framework for Simulating Hybrid MTJ/CMOS Circuits: Atoms to System Approach [p. 1443]
PDF icon Layout-Aware Optimization of STT MRAMs [p. 1455]
Roy, S
PDF icon Runtime Power Gating in Caches of GPUs for Leakage Energy Savings [p. 300]
PDF icon An MILP-Based Aging-Aware Routing Algorithm for NoCs [p. 326]
Roychowdhury, J
PDF icon Analysis and Design of Sub-Harmonically Injection Locked Oscillators [p. 1209]
Rozic, V
PDF icon Low-Cost Implementations of On-the-Fly Tests for Random Number Generators [p. 959]
Rudolf, R
PDF icon Automated Critical Device Identification for Configurable Analogue Transistors [p. 858]
Ruehrmair, U
PDF icon Characterization of the Bistable Ring PUF [p. 1459]
Ruggiero, M
PDF icon Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems [p. 988]
Rupnow, K
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]

S

Sabarad, J
PDF icon An FPGA-based Accelerator for Cortical Object Classification [p. 691]
Sabena, D
PDF icon A New SBST Algorithm for Testing the Register File of VLIW Processors [p. 412]
Sabry, M M
PDF icon Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation [p. 599]
PDF icon A Hybrid HW-SW Approach for Intermittent Error Mitigation in Streaming-Based Embedded Systems [p. 1110]
Sadeghi, A-R
PDF icon PUF-based Secure Test Wrapper Design for Cryptographic SoC Testing [p. 866]
Sadooghi-Alvandi, M
PDF icon Toward Virtualizing Branch Direction Prediction [p. 455]
Sadri, M
PDF icon Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer [p. 181]
Safari, S
PDF icon An Architecture-Level Approach for Mitigating the Impact of Process Variations on Extensible Processors [p. 467]
Sahlbach, H
PDF icon A High-Performance Dense Block Matching Solution for Automotive 6D-Vision [p. 268]
Sai, B
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Sainrat, P
PDF icon Time Analysable Synchronisation Techniques for Parallelised Hard Real-Time Applications [p. 671]
Salajka, V
PDF icon Towards New Applications of Multi-Function Logic: Image Multi-Filtering [p. 824]
Salcic, Z
PDF icon Correct-by-Construction Multi-Component SoC Design [p. 647]
Saletti, R
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
San Segundo Bello, D
PDF icon Impact of TSV Area on the Dynamic Range and Frame Rate Performance of 3D-Integrated Image Sensors [p. 836]
Sanchez, D
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Sanders, B
PDF icon Exploring Pausible Clocking Based GALS Design for 40-nm System Integration [p. 1118]
Saponara, S
PDF icon Low-power Embedded System for Real-Time Correction of Fish-Eye Automotive Cameras [p. 340]
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Saranovac, L
PDF icon Selective Flexibility: Breaking the Rigidity of Datapath Merging [p. 1543]
Sasao, T
PDF icon Row-Shift Decompositions for Index Generation Functions [p. 1585]
Sassone, A
PDF icon Investigating the Effects of Inverted Temperature Dependence (ITD) on Clock Distribution Networks [p. 165]
Satpathy, M
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Sauer, M
PDF icon On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints [p. 418]
Sawicki, J
PDF icon Panel: What Is EDA Doing for Trailing Edge Technologies? [p. 874]

Scarpelli, A
PDF icon Mechatronic System for Energy Efficiency in Bus Transport [p. 342]
Schaumont, P
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Schindler, W
PDF icon Revealing Side-Channel Issues of Complex Circuits by Enhanced Leakage Models [p. 1179]
Schirner, G
PDF icon Application-Specific Power-Efficient Approach for Reducing Register File Vulnerability [p. 574]
Schirrmeister, F
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Schlichtmann, U
PDF icon Accurately Timed Transaction Level Models for Virtual Prototyping at High Abstraction Level [p. 135]
PDF icon Current Source Modeling for Power and Timing Analysis at Different Supply Voltages [p. 923]
PDF icon Automated Construction of a Cycle-Approximate Transaction Level Model of a Memory Controller [p. 1066]
PDF icon Characterization of the Bistable Ring PUF [p. 1459]
Schmutzler, C
PDF icon On Demand Dependent Deactivation of Automotive ECUs [p. 69]
Schneider, R
PDF icon Time-triggered Implementations of Mixed-Criticality Automotive Software [p. 1227]
Schoenmaker, W
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
Schrijen, G-J
PDF icon Comparative Analysis of SRAM Memories Used as PUF Primitives [p. 1319]
Schuchardt, M
PDF icon Dynamic Directories: A Mechanism for Reducing On-Chip Interconnect Power in Multicores [p. 479]
Sciuto, D
PDF icon An Adaptive Approach for Online Fault Management in Many-Core Architectures [p. 1429]
Scotti, S
PDF icon Holistic Modeling of Embedded Systems with Multi-Discipline Feedback: Application to a Precollision Mitigation Braking System [p. 739]
Sebastian, M
PDF icon Probabilistic Response Time Bound for CAN Messages with Arbitrary Deadlines [p. 1114]
Sebeke, C
PDF icon Panel: What Is EDA Doing for Trailing Edge Technologies? [p. 874]

Sechen, C
PDF icon Post-Synthesis Leakage Power Minimization [p. 99]
Sekanina, L
PDF icon A SAT-based Fitness Function for Evolutionary Optimization of Polymorphic Circuits [p. 715]
PDF icon Towards New Applications of Multi-Function Logic: Image Multi-Filtering [p. 824]
Sen, A
PDF icon Verification Coverage of Embedded Multicore Applications [p. 252]
Senepa, L
PDF icon SURF Algorithm in FPGA: A Novel Architecture for High Demanding Industrial Applications [p. 161]
Sengupta, R
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Sengupta, S
PDF icon A Scan Pattern Debugger for Partial Scan Industrial Designs [p. 558]
Shafiee, A
PDF icon AFRA: A Low Cost High Performance Reliable Routing for 3D Mesh NoCs [p. 332]
Shafique, M
PDF icon Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding [p. 697]
Shah, H
PDF icon Bounding WCET of Applications Using SDRAM with Priority Based Budget Scheduling in MPSoCs [p. 665]
Shahid, M A
PDF icon Cross Entropy Minimization for Efficient Estimation of SRAM Failure Rate [p. 230]
Shao, Z
PDF icon 3D-FlashMap: A Physical-Location-Aware Block Mapping Strategy for 3D NAND Flash Memory [p. 1307]
PDF icon A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems [p. 1447]
Sharifi, S
PDF icon TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs [p. 593]
Sharma, V
PDF icon Ultra Low Power Litho Friendly Local Assist Circuitry for Variability Resilient 8T SRAM [p. 1042]
She, D
PDF icon Scheduling for Register File Energy Minimization in Explicit Datapath Architectures [p. 388]
Shen, C-C
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Shin, D
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
Shin, J
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Shoaib, M
PDF icon A Closed-loop System for Artifact Mitigation in Ambulatory Electrocardiogram Monitoring [p. 431]
PDF icon Enabling Advanced Inference on Sensor Nodes Through Direct Use of Compressively-sensed Signals [p. 437]
Silvano, C
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Sima, V-M
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Simons, M
PDF icon On Demand Dependent Deactivation of Automotive ECUs [p. 69]
Sinanoglu, O
PDF icon Logic Encryption: A Fault Analysis Perspective [p. 953]
Singh, M
PDF icon Multi-Token Resource Sharing for Pipelined Asynchronous Systems [p. 1191]
Singh, P
PDF icon Hazard Driven Test Generation for SMT Processors [p. 256]
Sinha, R
PDF icon Correct-by-Construction Multi-Component SoC Design [p. 647]
Sinkar, A A
PDF icon Workload-Aware Voltage Regulator Optimization for Power Efficient Multi-Core Processors [p. 1134]
Smolinski, R
PDF icon CrashTest'ing SWAT: Accurate, Gate-Level Evaluation of Symptom-Based Resiliency Solutions [p. 1106]
Soeken, M
PDF icon Debugging of Inconsistent UML/OCL Models [p. 1078]
PDF icon Eliminating Invariants in UML/OCL Models [p. 1142]
Song, W
PDF icon Area Efficient Asynchronous SDM Routers Using 2-Stage Clos Switches [p. 1495]
Sonza Reorda, M
PDF icon A New SBST Algorithm for Testing the Register File of VLIW Processors [p. 412]
Sotomayor Torres, C M
PDF icon Beyond CMOS - Benchmarking for Future Technologies [p. 129]
Soudris, D
PDF icon A Divide and Conquer Based Distributed Run-time Mapping Methodology for Many-Core Platforms [p. 111]
Souissi, Y
PDF icon RSM: A Small and Fast Countermeasure for AES, Secure against First- and Second-order Zero-Offset SCAs [p. 1173]
Sridhar, A
PDF icon Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation [p. 599]
Srivastav, M
PDF icon ASIC Implementations of Five SHA-3 Finalists [p. 1006]
Stattelmann, S
PDF icon Hybrid Source-Level Simulation of Data Caches Using Abstract Cache Models [p. 376]
Stefan, R
PDF icon A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up [p. 1283]
Stefanni, F
PDF icon Refinement of UML/MARTE Models for the Design of Networked Embedded Systems [p. 1072]
Stefanov, T
PDF icon A Methodology for Automated Design of Hard-Real-Time Embedded Streaming Systems [p. 941]
Steger, C
PDF icon Estimation Based Power and Supply Voltage Management for Future RF-Powered Multi-Core Smart Cards [p. 358]
Steinbach, D
PDF icon Guidelines for Model Based Systems Engineering [p. 159]
Steininger, A
PDF icon Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach [p. 276]
Steinmann, J
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
Sterpone, L
PDF icon A New SBST Algorithm for Testing the Register File of VLIW Processors [p. 412]
Stipic, S
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]
Stoettinger, M
PDF icon Side Channel Analysis of the SHA-3 Finalists [p. 1012]
PDF icon Revealing Side-Channel Issues of Complex Circuits by Enhanced Leakage Models [p. 1179]
Stojilovic, M
PDF icon Selective Flexibility: Breaking the Rigidity of Datapath Merging [p. 1543]
Stratigopoulos, H
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
Stratigopoulos, H-G
PDF icon Testing RF Circuits with True Non-Intrusive Built-In Sensors [p. 1090]
Straube, S
PDF icon Complexity, Quality and Robustness - The Challenges of Tomorrow's Automotive Electronics [p. 870]
Stripf, T
PDF icon A Cycle-Approximate, Mixed-ISA Simulator for the KAHRISMA Architecture [p. 21]
Strong, R
PDF icon MAPG: Memory Access Power Gating [p. 1054]
Stuijk, S
PDF icon Playing Games with Scenario- and Resource-Aware SDF Graphs Through Policy Iteration [p. 194]
PDF icon Modeling Static-Order Schedules in Synchronous Dataflow Graphs [p. 775]
Suaya, R
PDF icon An Efficient Framework for Passive Compact Dynamical Modeling of Multiport Linear Systems [p. 1203]
Sun, F
PDF icon Automatic Generation of Functional Models for Embedded Processor Extensions [p. 304]
Sun, G
PDF icon 3DHLS: Incorporating High-Level Synthesis in Physical Planning of Three-Dimensional (3D) ICs [p. 1185]
PDF icon Modeling and Design Exploration of FBDRAM as On-chip Memory [p. 1507]
Suri, B
PDF icon A Scalable GPU-based Approach to Accelerate the Multiple-Choice Knapsack Problem [p. 1126]
Swick, R
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]

T

Taatizadeh, P
PDF icon Automated Critical Device Identification for Configurable Analogue Transistors [p. 858]
Tabkhi, H
PDF icon Application-Specific Power-Efficient Approach for Reducing Register File Vulnerability [p. 574]
Tahar, S
PDF icon Towards Improving Simulation of Analog Circuits Using Model Order Reduction [p. 1337]
PDF icon Verifying Jitter in an Analog and Mixed Signal Design Using Dynamic Time Warping [p. 1413]
Tahoori, M B
PDF icon NBTI Mitigation by Optimized NOP Assignment and Insertion [p. 218]
PDF icon Layout-Driven Robustness Analysis for Misaligned Carbon Nanotubes in CNTFET-based Standard Cells [p. 1609]
Tamiya, Y
PDF icon Fast Cycle Estimation Methodology for Instruction-Level Emulator [p. 248]
Tan, M
PDF icon Energy-Efficient Branch Prediction with Compiler-Guided History Stack [p. 449]
Tan, S X-D
PDF icon Runtime Power Estimator Calibration for High-Performance Microprocessors [p. 352]
PDF icon Parallel Statistical Analysis of Analog Circuits by GPU-accelerated Graph-based Approach [p. 852]
PDF icon A GPU-Accelerated Envelope-Following Method for Switching Power Converter Simulation [p. 1349]
Tang, K-F
PDF icon Multi-Patch Generation for Multi-Error Logic Rectification by Interpolation with Cofactor Reduction [p. 1567]
Tang, Q
PDF icon Transistor-Level Gate Model Based Statistical Timing Analysis Considering Correlations [p. 917]
Tang, W-C
PDF icon Almost Every Wire is Removable: A Modeling and Solution for Removing Any Circuit Wire [p. 1573]
Tasić, B
PDF icon Advances in Variation-Aware Modeling, Verification, and Testing of Analog ICs [p. 1615]
Teh, Y F
PDF icon A Flit-level Speedup Scheme for Network-on-Chips Using Self-Reconfigurable Bi-directional Channels [p. 1295]
Tehranipoor, M
PDF icon A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection [p. 1331]
Teich, J
PDF icon Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach [p. 276]
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
Tenhunen, H
PDF icon CATRA -Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks [p. 320]
PDF icon A Multi-Parameter Bio-Electric ASIC Sensor with Integrated 2-Wire Data Transmission Protocol for Wearable Healthcare System [p. 443]
Tetzlaff, R
PDF icon Memristor Technology in Future Electronic System Design [p. 592]
Thach, D
PDF icon Fast Cycle Estimation Methodology for Instruction-Level Emulator [p. 248]
Thaler, A
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Thapliyal, H
PDF icon Mach-Zehnder Interferometer Based Design of All Optical Reversible Binary Adder [p. 721]
Theelen, B
PDF icon Model Checking of Scenario-Aware Dataflow with CADP [p. 653]
Theocharides, T
PDF icon Towards Accurate Hardware Stereo Correspondence: A Real-Time FPGA Implementation of a Segmentation-Based Adaptive Support Weight Algorithm [p. 703]
Thibault, S
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Thiele, D
PDF icon Optimizing Performance Analysis for Synchronous Dataflow Graphs with Shared Resources [p. 635]
Thomas, O
PDF icon Stability and Yield-Oriented Ultra-Low-Power Embedded 6T SRAM Cell Design Optimization [p. 93]
Tischendorf, C
PDF icon Large Signal Simulation of Integrated Inductors on Semi-Conducting Substrates [p. 1221]
Todorov, V
PDF icon Automated Construction of a Cycle-Approximate Transaction Level Model of a Memory Controller [p. 1066]
Todri, A
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Tokunaga, C
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Tomic, S
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]
Tong, D
PDF icon Energy-Efficient Branch Prediction with Compiler-Guided History Stack [p. 449]
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
Topcuoglu, H R
PDF icon Performance-Reliability Tradeoff Analysis for Multithreaded Applications [p. 893]
Topham, N
PDF icon Predicting Best Design Trade-offs: A Case Study in Processor Customization [p. 1030]
Torres, L
PDF icon Amplitude Demodulation-based EM Analysis of Different RSA Implementations [p. 1167]
Tosun, O
PDF icon Performance-Reliability Tradeoff Analysis for Multithreaded Applications [p. 893]
Traff, J L
PDF icon Programmability and Performance Portability Aspects of Heterogeneous Multi-/Manycore Systems [p. 1403]
Tretmans, J
PDF icon State-of-the-art Tools and Techniques for Quantitative Modeling and Analysis of Embedded Systems [p. 370]
Tristl, M
PDF icon Measuring and Improving the Robustness of Automotive Smart Power Microelectronics [p. 872]
Trummer, R
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Tsai, H-P
PDF icon Design and Analysis of Via-Configurable Routing Fabrics for Structured ASICs [p. 1479]
Tschanz, J
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Tsui, C-Y
PDF icon A Flit-level Speedup Scheme for Network-on-Chips Using Self-Reconfigurable Bi-directional Channels [p. 1295]
Ttofis, C
PDF icon Towards Accurate Hardware Stereo Correspondence: A Real-Time FPGA Implementation of a Segmentation-Based Adaptive Support Weight Algorithm [p. 703]
Tung, S-Y
PDF icon Congestion-Aware Scheduling for NoC-based Reconfigurable Systems [p. 1561]
Turturici, M
PDF icon Low-power Embedded System for Real-Time Correction of Fish-Eye Automotive Cameras [p. 340]
Tuveri, G
PDF icon Exploiting Binary Translation for Fast ASIP Design Space Exploration on FPGAs [p. 566]

U

Ungerer, T
PDF icon Time Analysable Synchronisation Techniques for Parallelised Hard Real-Time Applications [p. 671]
Unsal, O
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]

V

Vahid, F
PDF icon MEDS: Mockup Electronic Data Sheets for Automated Testing of Cyber-Physical Systems Using Digital Mockups [p. 1417]
Valero, M
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]
van Berkel, C H
PDF icon A Complexity Adaptive Channel Estimator for Low Power [p. 1531]
van der Leest, V
PDF icon Comparative Analysis of SRAM Memories Used as PUF Primitives [p. 1319]
van der Meijs, N
PDF icon Transistor-Level Gate Model Based Statistical Timing Analysis Considering Correlations [p. 917]
Vandling, G
PDF icon EDA Solutions to New-Defect Detection in Advanced Process Technologies [p. 123]
Varman, P
PDF icon High Performance Reliable Variable Latency Carry Select Addition [p. 1257]
Vasicek, Z
PDF icon A SAT-based Fitness Function for Evolutionary Optimization of Polymorphic Circuits [p. 715]
Vatajelu, E I
PDF icon Efficiency Evaluation of Parametric Failure Mitigation Techniques for Reliable SRAM Operation [p. 1343]
Vaupel, M
PDF icon Virtual Platforms: Breaking New Grounds [p. 685]
Vega, A
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Veljkovic, F
PDF icon Low-Cost Implementations of On-the-Fly Tests for Random Number Generators [p. 959]
Veneris, A
PDF icon Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug [p. 292]
PDF icon Non-Solution Implications Using Reverse Domination in a Modern SAT-based Debugging Environment [p. 629]
Verbauwhede, I
PDF icon PUF-based Secure Test Wrapper Design for Cryptographic SoC Testing [p. 866]
PDF icon Low-Cost Implementations of On-the-Fly Tests for Random Number Generators [p. 959]
Verma, N
PDF icon Enabling Advanced Inference on Sensor Nodes Through Direct Use of Compressively-sensed Signals [p. 437]
Vincent, P
PDF icon UWB: Innovative Architectures Enable Disruptive Low Power Wireless Applications - Invited Paper [p. 1160]
Vinco, S
PDF icon MOUSSE: Scaling MOdelling and Verification to Complex HeterogeneoUS Embedded Systems Evolution [p. 296]
Violante, M
PDF icon An Hybrid Architecture to Detect Transient Faults in Microprocessors: An Experimental Validation [p. 1433]
Virazel, A
PDF icon Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures [p. 532]
Vivet, P
PDF icon An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design [p. 224]
Vizzini, D
PDF icon Cyber-Physical Cloud Computing: The Binding and Migration Problem [p. 1425]
Vladimerescu, A
PDF icon Stability and Yield-Oriented Ultra-Low-Power Embedded 6T SRAM Cell Design Optimization [p. 93]
Voyiatzis, I
PDF icon Input Vector Monitoring on Line Concurrent BIST Based on Multilevel Decoding Logic [p. 1251]
Vyagrheswarudu, N
PDF icon PowerAdviser: An RTL Power Platform for Interactive Sequential Optimizations [p. 550]

W

Wajsbürt, F
PDF icon An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design [p. 1549]
Walravens, C
PDF icon Design of a Low-Energy Data Processing Architecture for WSN Nodes [p. 570]
Wan, J
PDF icon Monitoring Active Filters under Automotive Aging Scenarios with Embedded Instrument [p. 1096]
Wang, C
PDF icon Extending the Lifetime of NAND Flash Memory by Salvaging Bad Blocks [p. 260]
Wang, C-Y
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Wang, H
PDF icon Runtime Power Estimator Calibration for High-Performance Microprocessors [p. 352]
PDF icon Parallel Statistical Analysis of Analog Circuits by GPU-accelerated Graph-based Approach [p. 852]
PDF icon Workload-Aware Voltage Regulator Optimization for Power Efficient Multi-Core Processors [p. 1134]
PDF icon A GPU-Accelerated Envelope-Following Method for Switching Power Converter Simulation [p. 1349]
Wang, J
PDF icon A Clustering-Based Scheme for Concurrent Trace in Debugging NoC-Based Multicore Systems [p. 27]
Wang, K
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
Wang, L
PDF icon Response-surface-based Design Space Exploration and Optimization of Wireless Sensor Nodes with Tunable Energy Harvesters [p. 733]
Wang, S
PDF icon Low Power Aging-Aware Register File Design by Duty Cycle Balancing [p. 546]
Wang, S-C
PDF icon Mitigating Lifetime Underestimation: A System-Level Approach Considering Temperature Variations and Correlations between Failure Mechanisms [p. 1269]
Wang, T
PDF icon A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems [p. 1447]
Wang, X
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
PDF icon Asymmetry of MTJ Switching and Its Implication to STT-RAM Designs [p. 1313]
Wang, Y
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon Runtime Power Gating in Caches of GPUs for Leakage Energy Savings [p. 300]
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
PDF icon 3D-FlashMap: A Physical-Location-Aware Block Mapping Strategy for 3D NAND Flash Memory [p. 1307]
PDF icon A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems [p. 1447]
PDF icon An Operational Matrix-Based Algorithm for Simulating Linear and Fractional Differential Circuits [p. 1463]
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Wang, Z
PDF icon Accurate Source-Level Simulation of Embedded Software with Respect to Compiler Optimizations [p. 382]
Wassal, A G
PDF icon Double-Patterning Friendly Grid-Based Detailed Routing with Online Conflict Resolution [p. 1475]
Watanabe, Y
PDF icon Exploiting Area/Delay Tradeoffs in High-Level Synthesis [p. 1024]
Watt, J
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Weger, A J
PDF icon Power Management of Multi-Core Chips: Challenges and Pitfalls [p. 977]
Wehn, N
PDF icon DRAM Selection and Configuration for Real-Time Mobile Systems [p. 51]
PDF icon An Energy Efficient DRAM Subsystem for 3D Integrated SoCs [p. 1138]
Weis, C
PDF icon DRAM Selection and Configuration for Real-Time Mobile Systems [p. 51]
PDF icon An Energy Efficient DRAM Subsystem for 3D Integrated SoCs [p. 1138]
Weiss, R
PDF icon Estimation Based Power and Supply Voltage Management for Future RF-Powered Multi-Core Smart Cards [p. 358]
Wenger, M
PDF icon Batteries and Battery Management Systems for Electric Vehicles [p. 971]
Wenninger, J
PDF icon Response-surface-based Design Space Exploration and Optimization of Wireless Sensor Nodes with Tunable Energy Harvesters [p. 733]
Werner, S
PDF icon Virtualized On-Chip Distributed Computing for Heterogeneous Reconfigurable Multi-Core Systems [p. 280]
Whitty, S
PDF icon A High-Performance Dense Block Matching Solution for Automotive 6D-Vision [p. 268]
Wilcock, R
PDF icon Automated Critical Device Identification for Configurable Analogue Transistors [p. 858]
Wille, R
PDF icon Automatic Design of Low-Power Encoders Using Reversible Circuit Synthesis [p. 1036]
PDF icon Debugging of Inconsistent UML/OCL Models [p. 1078]
PDF icon Eliminating Invariants in UML/OCL Models [p. 1142]
Williams, R S
PDF icon Memristor Technology in Future Electronic System Design [p. 592]
Wilson, P
PDF icon Automated Critical Device Identification for Configurable Analogue Transistors [p. 858]
Wong, H-S P
PDF icon Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique [p. 1361]
Wong, N
PDF icon Efficient Variation-Aware EM-Semiconductor Coupled Solver for the TSV Structures in 3D IC [p. 1409]
PDF icon An Operational Matrix-Based Algorithm for Simulating Linear and Fractional Differential Circuits [p. 1463]
Wong, W-F
PDF icon Extending the Lifetime of NAND Flash Memory by Salvaging Bad Blocks [p. 260]
Wu, H
PDF icon Model Checking of Scenario-Aware Dataflow with CADP [p. 653]
Wu, K-C
PDF icon Mitigating Lifetime Underestimation: A System-Level Approach Considering Temperature Variations and Correlations between Failure Mechanisms [p. 1269]
Wu, W
PDF icon Fair Energy Resource Allocation by Minority Game Algorithm for Smart Buildings [p. 63]
Wu, Y-L
PDF icon Almost Every Wire is Removable: A Modeling and Solution for Removing Any Circuit Wire [p. 1573]

X

Xhakoni, A
PDF icon Impact of TSV Area on the Dynamic Range and Frame Rate Performance of 3D-Integrated Image Sensors [p. 836]
Xie, L
PDF icon Custom On-Chip Sensors for Post-Silicon Failing Path Isolation in the Presence of Process Variations [p. 1591]
Xie, Q
PDF icon Multiple-Source and Multiple-Destination Charge Migration in Hybrid Electrical Energy Storage Systems [p. 169]
PDF icon State of Health Aware Charge Management in Hybrid Electrical Energy Storage Systems [p. 1060]
Xie, Y
PDF icon 3DHLS: Incorporating High-Level Synthesis in Physical Planning of Three-Dimensional (3D) ICs [p. 1185]
PDF icon Modeling and Design Exploration of FBDRAM as On-chip Memory [p. 1507]
Xie, Z
PDF icon Energy-Efficient Branch Prediction with Compiler-Guided History Stack [p. 449]
Xing, X
PDF icon Design of an Intrinsically-Linear Double- VCO-based ADC with 2nd-order Noise Shaping [p. 1215]
Xu, C
PDF icon Modeling and Design Exploration of FBDRAM as On-chip Memory [p. 1507]
Xu, Q
PDF icon On Effective TSV Repair for 3D-Stacked ICs [p. 793]
PDF icon Clock Skew Scheduling for Timing Speculation [p. 929]
Xu, Y
PDF icon Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis [p. 346]
PDF icon Efficient Variation-Aware EM-Semiconductor Coupled Solver for the TSV Structures in 3D IC [p. 1409]

Y

Yakoushkin, S
PDF icon Hybrid Simulation for Extensible Processor Cores [p. 288]
Yang, G
PDF icon A Multi-Parameter Bio-Electric ASIC Sensor with Integrated 2-Wire Data Transmission Protocol for Wearable Healthcare System [p. 443]
Yang, H
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Yang, J
PDF icon Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices [p. 1451]
Yang, S
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Yang, X
PDF icon Almost Every Wire is Removable: A Modeling and Solution for Removing Any Circuit Wire [p. 1573]
Yang, Y
PDF icon Playing Games with Scenario- and Resource-Aware SDF Graphs Through Policy Iteration [p. 194]
Yang, Y-C
PDF icon A Probabilistic Analysis Method for Functional Qualification under Mutation Analysis [p. 147]
Yang, Y-S
PDF icon Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug [p. 292]
Ye, R
PDF icon Clock Skew Scheduling for Timing Speculation [p. 929]
Ye, Z
PDF icon Weighted Area Technique for Electromechanically Enabled Logic Computation with Cantilever-Based NEMS Switches [p. 727]
Yeolekar, A
PDF icon An Integrated Test Generation Tool for Enhanced Coverage of Simulink/Stateflow Models [p. 308]
Yi, J
PDF icon S/DC: A Storage and Energy Efficient Data Prefetcher [p. 461]
Yip, T G
PDF icon Challenges in Verifying an Integrated 3D Design [p. 167]
Yoo, S
PDF icon A Case Study on the Application of Real Phase-Change RAM to Main Memory Subsystem [p. 264]
PDF icon Bloom Filter-based Dynamic Wear Leveling for Phase-Change RAM [p. 1513]
Yordanov, B
PDF icon Experimentally Driven Verification of Synthetic Biological Circuits [p. 236]
Yu, H
PDF icon Fair Energy Resource Allocation by Minority Game Algorithm for Smart Buildings [p. 63]
PDF icon A GPU-Accelerated Envelope-Following Method for Switching Power Converter Simulation [p. 1349]
Yu, W
PDF icon Efficient Variation-Aware EM-Semiconductor Coupled Solver for the TSV Structures in 3D IC [p. 1409]
Yu, Z
PDF icon A Complexity Adaptive Channel Estimator for Low Power [p. 1531]
Yuan, F
PDF icon Clock Skew Scheduling for Timing Speculation [p. 929]
Yun, J
PDF icon Bloom Filter-based Dynamic Wear Leveling for Phase-Change RAM [p. 1513]

Z

Zaccaria, V
PDF icon Using Multi-objective Design Space Exploration to Enable Run-time Resource Management for Reconfigurable Architectures [p. 1379]
Zaki, M H
PDF icon Towards Improving Simulation of Analog Circuits Using Model Order Reduction [p. 1337]
PDF icon Verifying Jitter in an Analog and Mixed Signal Design Using Dynamic Time Warping [p. 1413]
Zambelli, C
PDF icon A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories [p. 881]
Zatt, B
PDF icon Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding [p. 697]
Zeng, H
PDF icon Task Implementation of Synchronous Finite State Machines [p. 206]
Zergainoh, N-E
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Zha, J
PDF icon Modeling and Testing of Interference Faults in the Nano NAND Flash Memory [p. 527]
Zhai, J T
PDF icon A Methodology for Automated Design of Hard-Real-Time Embedded Streaming Systems [p. 941]
Zhang, C
PDF icon Fair Energy Resource Allocation by Minority Game Algorithm for Smart Buildings [p. 63]
PDF icon Voltage Propagation Method for 3-D Power Grid Analysis [p. 844]
PDF icon Spintronic Memristor Based Temperature Sensor Design with CMOS Current Reference [p. 1301]
Zhang, D
PDF icon A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors [p. 1519]
Zhang, L
PDF icon A Clustering-Based Scheme for Concurrent Trace in Debugging NoC-Based Multicore Systems [p. 27]
Zhang, P
PDF icon Combining Module Selection and Replication for Throughput-Driven Streaming Programs [p. 1018]
Zhang, Y
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
PDF icon Asymmetry of MTJ Switching and Its Implication to STT-RAM Designs [p. 1313]
PDF icon Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices [p. 1451]
Zhao, B
PDF icon Architecting a Common-Source-Line Array for Bipolar Non-Volatile Memory Devices [p. 1451]
Zhao, S
PDF icon Real-time Implementation and Performance Optimization of 3D Sound Localization on GPUs [p. 832]
Zheng, C
PDF icon Low Power Aging-Aware Register File Design by Duty Cycle Balancing [p. 546]
Zheng, L-R
PDF icon A Multi-Parameter Bio-Electric ASIC Sensor with Integrated 2-Wire Data Transmission Protocol for Wearable Healthcare System [p. 443]
Zheng, Y
PDF icon Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication [p. 1501]
Zhou, H
PDF icon Clock Skew Scheduling for Timing Speculation [p. 929]
Zimmermann, J
PDF icon Optimal Energy Management and Recovery for FEV [p. 683]
PDF icon Analysis of Multi-Domain Scenarios for Optimized Dynamic Power Management Strategies [p. 862]
Ziv, A
PDF icon Generating Instruction Streams Using Abstract CSP [p. 15]
PDF icon Approximating Checkers for Simulation Acceleration [p. 153]
Zjajo, A
PDF icon Transistor-Level Gate Model Based Statistical Timing Analysis Considering Correlations [p. 917]
Zohner, M
PDF icon Side Channel Analysis of the SHA-3 Finalists [p. 1012]
Zorian, Y
PDF icon Design for Test and Reliability in Ultimate CMOS [p. 677]
Zou, Q
PDF icon 3DHLS: Incorporating High-Level Synthesis in Physical Planning of Three-Dimensional (3D) ICs [p. 1185]
Zou, Y
PDF icon Combining Module Selection and Replication for Throughput-Driven Streaming Programs [p. 1018]
Zuluaga, M
PDF icon Predicting Best Design Trade-offs: A Case Study in Processor Customization [p. 1030]
Zyulkyarov, F
PDF icon TagTM - Accelerating STMs with Hardware Tags for Fast Meta-Data Access [p. 39]