MixLock: Securing Mixed-Signal Circuits via Logic Locking

Julian Leonhard1, Muhammad Yasin2, Shadi Turk3, Mohammed Thari Nabeel4, Marie-Minerve Louërat1, Roselyne Chotin-Avot1, Hassan Aboushady1, Ozgur Sinanoglu4 and Haralampos-G. Stratigopoulos1
1Sorbonne Université, CNRS, LIP6, Paris, France
2New York University, New York, USA
3Seamless Waves, Paris, France
4New York University Abu Dhabi, Abu Dhabi, UAE

ABSTRACT


In this paper, we propose a hardware security methodology for mixed-signal Integrated Circuits (ICs). The proposed methodology can be used as a countermeasure for IC piracy, including counterfeiting and reverse engineering. It relies on logic locking of the digital section of the mixed-signal IC, such that unless the correct key is provided, the mixed-signal performance will be pushed outside of the acceptable specification range. We employ a state-of-the-art logic locking technique, called Stripped Functionality Logic Locking (SFLL). We show that strong security levels are achieved in both mixed-signal and digital domains. In addition, the proposed methodology presents several appealing properties. It is non-intrusive for the analog section, it incurs reasonable area and power overhead, it can be fully automated, and it is virtually applicable to a wide range of mixed-signal ICs. We demonstrate it on a ΣΔ Analog-to-Digital Converter (ADC).



Full Text (PDF)