Heterogeneous Exascale Supercomputing: The Role of CAD in the exaFPGA Project

M. Rabozzia, G. Nataleb, E. Del Sozzoc, A. Scolarid, L. Stornaiuoloe and M. D. Santambrogiof
Politecnico di Milano, DEIB, Italy.
amarco.rabozzi@polimi.it
bgiuseppe.natale@polimi.it
cemanuele.delsozzo@polimi.it
dalberto.scolari@polimi.it
emarco.santambrogio@polimi.it
fluca.stornaiuolo@mail.polimi.it

ABSTRACT


Since the end of Moore's law is limiting the growth of general purpose processors, High Performance Processing (HPC) systems are considering FPGA-based accelerators as a promising solution for several application fields. However, their employment poses challenges the research is still tackling, and existing tools and workflows do not naturally adapt to the scale and complexity of HPC domains. To help researchers and practitioners, this paper proposes CAOS, a platform that implements an FPGA development workflow tailored to HPC systems while being open to external contributions. Indeed, researchers and developers can plug into CAOS to experiment and compare their solutions at each step of the design flow. This paper describes the CAOS workflow and validates it against several case studies to assess its generality and highlight possible research contributions.



Full Text (PDF)