Fast Leakage Aware Thermal Simulator for 3D Chips

Hameedah Sultan1 and Smruti R. Sarangi2
1School of Information Technology, Indian Institute of Technology, New Delhi, India.
hameedah@cse.iitd.ac.in
2Computer Science and Engineering, Indian Institute of Technology, New Delhi, India.
srsarangi@cse.iitd.ac.in

ABSTRACT


In this paper, we propose, 3D Sim, which is an ultrafast thermal simulator for 3D chips. It simulates the effects of both dynamic and leakage power. Our technique captures the steady state as well as the transient response with a high speed and good accuracy. 3DSim uses an approach based on Green's functions, where a Green's function is defined as the impulse response of a unit power source. Our approach incorporates the effects of the leakage-temperature feedback loop, exploits the radial symmetry in the thermal profile, and uses Hankel transforms to yield a closed form solution for the leakage aware Green's function. To further speed up our technique, we use fast numerical discrete Hankel transforms, and pre-compute and store certain functions in a lookup table. Our approach fundamentally converts a 3D problem to a set of 1D problems, thus leading to a 68X speedup as compared to competing simulators with an error limited to 1.5 215C.



Full Text (PDF)