Near-Data Acceleration of Privacy-Preserving Biomarker Search with 3D-Stacked Memory

Alvin Oliver Glovaa, Itir Akgunb, Shuangchen Lic, Xing Hud and Yuan Xiee
University of California, Santa Barbara, USA
aaomglova@ece.ucsb.edu
biakgun@ece.ucsb.edu
cshuangchenli@ece.ucsb.edu
dhuxing@ece.ucsb.edu
eyuanxie@ece.ucsb.edu

ABSTRACT


Homomorphic encryption is a promising technology for enabling various privacy-preserving applications such as secure biomarker search. However, current implementations are not practical due to large performance overheads. A homomorphic encryption scheme has recently been proposed that allows bitwise comparison without the computationally-intensive multiplication and bootstrapping operations. Even so, this scheme still suffers from memory-bound performance bottleneck due to large ciphertext expansion. In this work, we propose HEGA, a near-data processing architecture that leverages this scheme with 3D-stacked memory to accelerate privacy-preserving biomarker search. We observe that homomorphic encryption-based search, like other emerging applications, can greatly benefit from the large throughput, capacity, and energy savings of 3D-stacked memory-based near-data processing architectures. Our near-data acceleration solution can speed up biomarker search by 6.3× with 5.7× energy savings compared to an 8-core Intel Xeon processor.



Full Text (PDF)