doi: 10.7873/DATE.2015.1051


Spatial and Temporal Granularity Limits of Body Biasing in UTBB-FDSOI


Johannes Maximilian Kühn1, Dustin Peterson3, Hideharu Amano2, Oliver Bringmann3 and Wolfgang Rosenstiel1

1University of Tübingen, Computer Engineering, Sand 13, Tübingen, Germany

2Keio University, Department of ICS, Hiyoshi, Kohoku-ku, Yokohama, Japan.

muccra@am.ics.keio.ac.jp

3University of Tübingen, Embedded Systems, Sand 13, Tübingen, Germany

ABSTRACT

Advances in SOI technology such as STMicro's 28nm UTBB-FDSOI enabled a renaissance of body biasing. Body biasing is a fast and efficient technique to change power and performance characteristics. As the electrical task to change the substrate potential is small compared to Dynamic Voltage Scaling, much finer island sizes are conceivable. This however creates new challenges in regard to design partitioning into body bias islands and body bias combinations across such designs. These combinations should be chosen so that energy efficiency improves while maintaining timing constraints.We introduce a combination based analysis tool to find optimized body bias island partitions and body biasing levels. For such partitions, optimized body bias assignments for static, programmable and dynamic body biasing can be computed. The overheads incurred by dynamically switching body biases are estimated to yield actual improvements and to give an upper bound for the power consumption of required additional circuitry. Based on these partitionings and the switching overheads, optimized application specific switching strategies are computed. The effectiveness of this method is demonstrated in a frequency scaling scenario using forward body biasing on a Dynamic Reconfigurable Processor (DRP) design. We show that leakage can be greatly reduced using the proposed methods and that dynamic body biasing can be beneficial even at small time periods.



Full Text (PDF)