doi: 10.7873/DATE.2015.1012


Quick Error Detection Tests with Fast Runtimes for Effective Post-Silicon Validation and Debug


David Lin1, Eswaran S.3, Sharad Kumar3, Eric Rentschler4 and Subhasish Mitra1,2

1Department of EE, Stanford University, Stanford, CA, USA

2Department of CS, Stanford University, Stanford, CA, USA

3Freescale Semiconductor, Noida, India

4Mentor Graphics, Longmont, CO, USA

ABSTRACT

Long error detection latency, the time elapsed from the occurrence of an error caused by a bug to its manifestation as an observable failure, severely limits the effectiveness of existing post-silicon validation and debug techniques. Traditional post-silicon validation tests can incur very long error detection latencies of millions or even billions of clock cycles. An earlier technique called Quick Error Detection (QED) shortens error detection latencies to only few hundred (or thousand) clock cycles. However, software-only QED (i.e., QED implemented entirely in software) can result in significantly increased post-silicon validation test runtimes. We present a new technique called Fast QED that overcomes this drawback of software-only QED, while preserving the error detection latency and bug coverage benefits of software-only QED. Simulation results using an OpenSPARC T2- like multi-core SoC and bugs abstracted from multiple commercial multi-core SoCs demonstrate: 1. Fast QED achieves 4 orders of magnitude improvement in test runtime as compared to software-only QED, with only 0.4% increase in chip area; 2. Fast QED improves error detection latencies by up to 5 orders of magnitude compared to non-QED tests, and also achieves improved error detection latencies compared to software-only QED; and, 3. Fast QED improves bug coverage by up to 2-fold compared to non-QED tests (similar to software-only QED).

Keywords: Debug, Post-silicon validation, Quick error detection.



Full Text (PDF)