doi: 10.7873/DATE.2015.0925


Power-Efficient Accelerator Allocation in Adaptive Dark Silicon Many-Core Systems


Muhammad Usman Karim Khana, Muhammad Shafiqueb and Jörg Henkelc

Chair for Embedded Systems, Karlsruhe Institute of Technology, Germany.

amuhammad.khan@kit.edu
bmuhammad.shafique@kit.edu
chenkel@kit.edu

ABSTRACT

Modern many-core systems in the dark silicon era face the predicament of underutilized resources of the chip due to power constraints. Therefore, hardware accelerators are becoming popular as they can overcome this problem by exercising a part of the program on dedicated custom logic in an energy efficient way. However, efficient accelerator usage poses numerous challenges, like adaptations for accelerator’s sharing schedule on the many-core systems under run-time varying scenarios. In this work, we propose a power-efficient accelerator allocation scheme for adaptive many-core systems that maximally utilizes and dynamically allocates a shared accelerator to competing cores, such that deadlines of the executing applications are met and the total power consumption of the overall system is minimized. The experimental results demonstrate power minimization and high accelerator utilization for a many-core system.



Full Text (PDF)