doi: 10.7873/DATE.2015.0859


Asymmetric Underlapped FinFET Based Robust SRAM Design at 7nm Node


A. Arun Gouda, Rangharajan Venkatesanb, Anand Raghunathanc and Kaushik Royd

School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA.

aarungoud@purdue.edu
brvenkate@purdue.edu
caraghu@purdue.edu
dkaushik@purdue.edu

ABSTRACT

Robust 6T SRAM design in 7nm technology node, at low supply voltage and rising leakage, requires ingenious design of FinFETs capable of providing reasonable Ion/Ioff ratio and acceptable short channel effects even under new leakage mechanisms such as direct source to drain tunneling. In this work, we explore asymmetric underlapped FinFET design with the help of quantum mechanical device simulations considering both the bit-cell and cache design constraints. We show that our optimized FinFET achieves a significant improvement in oncurrent over conventional symmetrically underlapped FinFETs. Through circuit simulations using compact models, we demonstrate that when such asymmetric underlapped n-FinFETs are used as bit-line access transistors, read/write conflict can be mitigated with simultaneous reduction in 6T SRAM bit-cell leakage. Improvement in write noise margin as well as access time can also be achieved under iso-read stability condition. Based on these technology and bit-cell models, we have developed a CACTI-based simulator for evaluating asymmetric FinFET based SRAM cache at 7nm node. Using this device-circuit-system level framework and optimized asymmetric underlapped FinFETs, we demonstrate significant energy savings and performance improvements for an 8KB L1 cache and a 4MB last-level cache.

Keywords: 7nm, FinFET, Asymmetric underlap, 6T SRAM, Noise margin improvement, Low leakage, Cache, CACTI, Scaled interconnect.



Full Text (PDF)