doi: 10.7873/DATE.2015.0733


DESTINY: A Tool for Modeling Emerging 3D NVM and eDRAM caches


Matt Poremba1, Sparsh Mittal2,a, Dong Li2,b, Jeffrey S. Vetter2,3,c and Yuan Xie4

1Pennsylvania State University, USA.

mrp5060@psu.edu

2Oak Ridge National Laboratory, USA.

amittals@ornl.gov
blid1@ornl.gov
cvetter@ornl.gov

3Georgia Institute of Technology, USA

4University of California at Santa Barbara, USA.

yuanxie@ece.ucsb.edu

ABSTRACT

The continuous drive for performance has pushed the researchers to explore novel memory technologies (e.g. non-volatile memory) and novel fabrication approaches (e.g. 3D stacking) in the design of caches. However, a comprehensive tool which models both conventional and emerging memory technologies for both 2D and 3D designs has been lacking. We present DESTINY, a microarchitecture-level tool for modeling 3D (and 2D) cache designs using SRAM, embedded DRAM (eDRAM), spin transfer torque RAM (STT-RAM), resistive RAM (ReRAM) and phase change RAM (PCM). DESTINT facilitates design-space exploration across several dimensions, such as optimizing for a target (e.g. latency or area) for a given memory technology, choosing the suitable memory technology or fabrication method (i.e. 2D v/s 3D) for a desired optimization target etc. DESTINY has been validated against industrial cache prototypes. we believe that DESTINY will drive architecture and system-level studies and will be useful for researchers and designers.

Keywords: Cache, SRAM, eDRAM, STT-RAM, ReRAM, PCM, Non-volatile memory (NVM or NVRAM, Modeling tool, Validation.



Full Text (PDF)