doi: 10.7873/DATE.2015.0513


Axilog: Language Support for Approximate Hardware Design


Amir Yazdanbakhsh1, Divya Mahajan1, Bradley Thwaites1, Jongse Park1, Anandhavel Nagendrakumar1, Sindhuja Sethuraman1, Kartik Ramkrishnan2, Nishanthi Ravindran2, Rudra Jariwala2, Abbas Rahimi3, Hadi Esmaeilzadeh1 and Kia Bazargan2

1Georgia Institute of Technology, USA

2University of Minnesota, USA

3UC San Diego, USA

ABSTRACT

Relaxing the traditional abstraction of “nearperfect” accuracy in hardware design can lead to significant gains in energy efficiency, area, and performance. To exploit this opportunity, there is a need for design abstractions that can systematically incorporate approximation in hardware design.We introduce Axilog, a set of language annotations, that provides the necessary syntax and semantics for approximate hardware design and reuse in Verilog. Axilog enables the designer to relax the accuracy requirements in certain parts of the design, while keeping the critical parts strictly precise. Axilog is coupled with a Relaxability Inference Analysis that automatically infers the relaxable gates and connections from the designer’s annotations. The analysis provides formal safety guarantees that approximation will only affect the parts that the designer intended to approximate, referred to as relaxable elements. Finally, the paper describes a synthesis flow that approximates only the relaxable elements. Axilog enables applying approximation in the synthesis process while abstracting away the details of approximate synthesis from the designer. We evaluate Axilog, its analysis, and the synthesis flow using a diverse set of benchmark designs. The results show that the intuitive nature of the language extensions coupled with the automated analysis enables safe approximation of designs even with thousands of lines of code. Applying our approximate synthesis flow to these designs yields, on average, 54% energy savings and 1.9× area reduction with 10% output quality loss.



Full Text (PDF)