doi: 10.7873/DATE.2015.0440


On the Premises and Prospects of Timing Speculation


Rong Yea, Feng Yuanb, Jie Zhangc and Qiang Xud

CUhk REliable Computing Laboratory (CURE), Department of Computer Science & Engineering, The Chinese University of Hong Kong, Shatin, N.T., Hong Kong.

arye@cse.cuhk.edu.hk
bfyuan@cse.cuhk.edu.hk
cjzhang@cse.cuhk.edu.hk
dqxu@cse.cuhk.edu.hk

ABSTRACT

Timing speculation (TS), being able to detect and correct circuit timing errors at runtime, is a promising alternative solution to mitigate the ever-increasing variation effects in nanometer circuits. The potential energy-efficiency improvement, however, is limited by the circuit ‘timing wall”, a critical operating point caused by conventional circuit optimization techniques (e.g., gate sizing). With a given circuit netlist, we study the bound of the potential benefits provided by TS techniques in this work, which facilitate designers to decide whether it worths the effort to implement a timing-speculative circuit. Experimental results on benchmark circuits demonstrate the effectiveness of the proposed methodology.



Full Text (PDF)