doi: 10.7873/DATE.2015.0349


CyberPhysical-System-On-Chip (CPSoC): A Self-Aware MPSoC Paradigm with Cross-Layer Virtual Sensing and Actuation


S.Sarma1,a, N.Dutt1,b, P.Gupta2, N. Venkatasubramanian1,c and A. Nicolau1,d

1Department of Computer Science, University of California Irvine, CA, USA.

asantanus@ics.uci.edu
bdutt@ics.uci.edu
cnalini@ics.uci.edu
dnicolau@ics.uci.edu

2Department of Electrical Engineering, University of California Los Angeles, CA, USA.

puneet@ee.ucla.edu

ABSTRACT

Cyber–physical systems (CPSs) are physical and engineered systems whose operations are monitored, coordinated, controlled, and integrated by a computing, control, and communication core.We propose Cyberphysical-System-on-Chip (CPSoC), a new class of sensor and actuator-rich multiprocessor systemson- chip (MPSoCs), that augment MPSoCs with additional onchip and cross-layer sensing and actuation capabilities to enable self-awareness within the observe-decide-act (ODA) paradigm. Unlike traditional MPSoC designs, CPSoC differs primarily on the co-design of computing-communication-control (C3) systems that interacts with the physical environment in real-time in order to adapt system behavior so as to dynamically react to environmental changes while achieving overall design goals. We illustrate CPSoC’s potential through a virtual sensor network that accurately estimates run-time power for variability affected subsystems using noisy thermal sensors in improving system goals and Quality-of-Service (QoS).

Keywords: Cyber physical systems, Cross-layer approach, Self-aware computing, Adaptive computing , MPSoC, CyberPhysical-System-On-Chip (CPSoC).



Full Text (PDF)