doi: 10.7873/DATE.2015.0258


Retention Time Measurements and Modelling of Bit Error Rates of WIDE I/O DRAM in MPSoCs


Christian Weis1, Matthias Jung1, Peter Ehses1, Cristiano Santos2, Pascal Vivet2, Sven Goossens3, Martijn Koedam3 and Norbert Wehn1

1University of Kaiserslautern, Kaiserslautern, Germany

2CEA LETI, Grenoble, France

3Eindhoven University of Technology, Eindhoven, The Netherlands

ABSTRACT

DRAM cells use capacitors as volatile and leaky bit storage elements. The time spent without refreshing them is called retention time. It is well known that the retention time depends inverse exponentially on the temperature. In 3D stacking, the challenges of high power densities and thermal dissipation are exacerbated and have a much stronger impact on the retention time of 3D-stacked WIDE I/O DRAMs that are placed on top of an MPSoC. Consequently, it is very important to study the temperature behaviour of WIDE I/O DRAMs. To the best of our knowledge, no investigations based on real measurements were done for stacked DRAM-on-logic devices. In this paper, we first provide detailed measurements on temperature-dependent retention time and bit error rates of WIDE I/O DRAMs. To obtain the correct temperature distribution of the WIDE-I/O DRAM die we use an advanced thermal modelling tool: the DOCEA AceThermalModelerTM (ATM). The WIDE I/O DRAM retention times and bit error rates are compared to the behaviour of 2D- DRAM chips (DIMMs) with the help of an advanced FPGA-based test system. We observed data pattern dependencies and variable retention times (VRTs). Second, based on this data, we develop and validate a SystemC-TLM2.0 DRAM bit error rate model. Our proposed DRAM bit error model enables early investigations on the temperature vs. retention time trade-off in future 3D- stacked MPSoCs with WIDE I/O DRAMs in SystemC-TLM2.0 environments.



Full Text (PDF)